รายวิชา: ขั้นตอนการผลิตไมโครโปรเซสเซอร์ วิธีสร้างไมโครโปรเซสเซอร์ เทคโนโลยีสมัยใหม่สำหรับการสร้างโปรเซสเซอร์

วิธีสร้างชิป

การผลิตชิปเกี่ยวข้องกับการสะสมชั้นบางๆ ที่มี "รูปแบบ" ที่ซับซ้อนลงบนพื้นผิวซิลิกอน ขั้นแรก สร้างชั้นฉนวนที่ทำหน้าที่เป็นประตูไฟฟ้า สำหรับการผลิตซับสเตรตนั้น จะต้องตัดจากโมโนคริสตัลกระบอกเดียวให้เป็น “แพนเค้ก” บางๆ เพื่อให้สามารถตัดเป็นชิปโปรเซสเซอร์แต่ละตัวได้อย่างง่ายดาย หัววัดไฟฟ้าใช้เพื่อทดสอบชิปแต่ละตัวบนพื้นผิว ในที่สุด วัสดุพิมพ์จะถูกตัดออกเป็นแกนแต่ละแกน และแกนที่ไม่ทำงานจะถูกกำจัดออกทันที คอร์จะกลายเป็นโปรเซสเซอร์หนึ่งตัวหรือตัวอื่นและบรรจุในแพ็คเกจที่ทำให้ง่ายต่อการติดตั้งโปรเซสเซอร์บนเมนบอร์ดทั้งนี้ขึ้นอยู่กับคุณสมบัติ หน่วยการทำงานทั้งหมดผ่านการทดสอบความเครียดอย่างเข้มข้น

ทุกอย่างเริ่มต้นด้วยวัสดุพิมพ์

ขั้นตอนแรกในการผลิตโปรเซสเซอร์คือการดำเนินการในห้องปลอดเชื้อ อย่างไรก็ตาม สิ่งสำคัญคือต้องทราบว่าการผลิตที่ใช้เทคโนโลยีขั้นสูงดังกล่าวแสดงถึงการสะสมทุนมหาศาลต่อตารางเมตร การก่อสร้างโรงงานที่ทันสมัยพร้อมอุปกรณ์ทั้งหมดมีค่าใช้จ่าย 2-3 พันล้านดอลลาร์อย่างง่ายดาย และการทดสอบเทคโนโลยีใหม่ต้องใช้เวลาหลายเดือน เมื่อนั้นมวลโรงงานจึงจะสามารถผลิตโปรเซสเซอร์ได้

โดยทั่วไป กระบวนการผลิตชิปประกอบด้วยขั้นตอนการประมวลผลเวเฟอร์หลายขั้นตอน ซึ่งรวมถึงการสร้างพื้นผิวด้วยตนเอง ซึ่งท้ายที่สุดแล้วจะถูกตัดเป็นผลึกแต่ละอัน Figurnov, V.E. IBM PC สำหรับผู้ใช้ -M., 2004 - ป.204.

การผลิตพื้นผิว

ขั้นแรกคือการปลูกคริสตัลเดี่ยว เมื่อต้องการทำเช่นนี้ ผลึกเมล็ดจะถูกฝังอยู่ในอ่างซิลิคอนหลอมเหลว ซึ่งอยู่เหนือจุดหลอมเหลวของซิลิคอนโพลีคริสตัลไลน์ สิ่งสำคัญคือผลึกจะเติบโตอย่างช้าๆ (ประมาณหนึ่งวัน) เพื่อให้แน่ใจว่าอะตอมได้รับการจัดเรียงอย่างถูกต้อง โพลีคริสตัลไลน์หรือซิลิคอนอสัณฐานประกอบด้วยผลึกหลายชนิด ซึ่งจะนำไปสู่การปรากฏตัวของโครงสร้างพื้นผิวที่ไม่พึงประสงค์และมีคุณสมบัติทางไฟฟ้าต่ำ

เมื่อซิลิกอนหลอมเหลวแล้ว ก็สามารถเจือด้วยสารอื่นๆ ที่ทำให้คุณสมบัติทางไฟฟ้าของมันเปลี่ยนไปได้ กระบวนการทั้งหมดเกิดขึ้นในห้องที่ปิดสนิทซึ่งมีองค์ประกอบอากาศพิเศษเพื่อไม่ให้ซิลิกอนออกซิไดซ์

คริสตัลเดี่ยวถูกตัดเป็น “แพนเค้ก” โดยใช้เลื่อยเพชรทรงกลมที่มีความแม่นยำสูง ซึ่งไม่สร้างความผิดปกติขนาดใหญ่บนพื้นผิวของวัสดุพิมพ์ แน่นอนว่าพื้นผิวของวัสดุพิมพ์ยังคงไม่ราบเรียบอย่างสมบูรณ์ ดังนั้นจึงจำเป็นต้องมีการดำเนินการเพิ่มเติม ผลึกเดี่ยวแสดงในรูปที่ 1

รูปที่ 1. ลักษณะของผลึกเดี่ยว

ขั้นแรก โดยใช้แผ่นเหล็กที่หมุนได้และวัสดุที่มีฤทธิ์กัดกร่อน (เช่น อลูมิเนียมออกไซด์) ชั้นหนาจะถูกเอาออกจากพื้นผิว (กระบวนการที่เรียกว่าการขัด) ผลลัพธ์ที่ได้คือขจัดความผิดปกติที่มีขนาดตั้งแต่ 0.05 มม. ถึงประมาณ 0.002 มม. (2,000 นาโนเมตร) จากนั้น คุณควรปัดขอบของแผ่นรองหลังแต่ละอัน เนื่องจากขอบที่แหลมคมอาจทำให้ชั้นต่างๆ หลุดลอกได้ ถัดไป จะใช้กระบวนการแกะสลัก เมื่อใช้สารเคมีต่างๆ (กรดไฮโดรฟลูออริก กรดอะซิติก กรดไนตริก) พื้นผิวจะเรียบประมาณ 50 ไมครอน พื้นผิวไม่เสื่อมสลายทางกายภาพเนื่องจากกระบวนการทั้งหมดเป็นสารเคมีโดยสมบูรณ์ ช่วยให้คุณสามารถลบข้อผิดพลาดที่เหลืออยู่ในโครงสร้างผลึก ส่งผลให้พื้นผิวใกล้เคียงกับอุดมคติ

ขั้นตอนสุดท้ายคือการขัดเงาซึ่งจะทำให้พื้นผิวเรียบขึ้นจนถึงความหยาบสูงสุด 3 นาโนเมตร การขัดเงาทำได้โดยใช้ส่วนผสมของโซเดียมไฮดรอกไซด์และซิลิกาแบบเม็ด

ปัจจุบัน เวเฟอร์ไมโครโปรเซสเซอร์มีขนาดเส้นผ่านศูนย์กลาง 200 มม. หรือ 300 มม. ทำให้ผู้ผลิตชิปสามารถผลิตโปรเซสเซอร์หลายตัวจากแต่ละตัวได้ ขั้นตอนต่อไปคือวัสดุพิมพ์ขนาด 450 มม. แต่เราไม่ควรคาดหวังก่อนปี 2013 โดยทั่วไป ยิ่งเส้นผ่านศูนย์กลางของซับสเตรตมีขนาดใหญ่เท่าใด ก็จะสามารถผลิตเศษที่มีขนาดเท่ากันได้มากขึ้นเท่านั้น ตัวอย่างเช่น เวเฟอร์ขนาด 300 มม. สามารถผลิตโปรเซสเซอร์ได้มากกว่าสองเท่าของเวเฟอร์ขนาด 200 มม.

ยาสลบและการแพร่กระจาย

มีการกล่าวถึงยาสลบซึ่งดำเนินการระหว่างการเติบโตของผลึกเดี่ยวแล้ว แต่การเติมจะทำทั้งกับวัสดุพิมพ์ที่เสร็จแล้วและในระหว่างกระบวนการโฟโตลิโทกราฟีในภายหลัง วิธีนี้ช่วยให้คุณเปลี่ยนคุณสมบัติทางไฟฟ้าของพื้นที่และชั้นบางชั้น แทนที่จะเปลี่ยนโครงสร้างทั้งหมดของคริสตัล

การเติมสารเจือปนสามารถเกิดขึ้นได้จากการแพร่กระจาย อะตอมของสารเจือปนเติมพื้นที่ว่างภายในโครงตาข่ายคริสตัลระหว่างโครงสร้างซิลิคอน ในบางกรณี สามารถผสมโครงสร้างที่มีอยู่ได้ การแพร่กระจายทำได้โดยใช้ก๊าซ (ไนโตรเจนและอาร์กอน) หรือใช้ของแข็งหรือแหล่งอื่นของสารผสม Hasegawa, H. - World of Computers in Questions and Answers - M., 2004 - P.89..

การสร้างหน้ากาก

ในการสร้างส่วนต่างๆ ของวงจรรวม จะใช้กระบวนการถ่ายภาพด้วยแสง เนื่องจากไม่จำเป็นต้องฉายรังสีทั่วทั้งพื้นผิวของวัสดุพิมพ์ จึงเป็นสิ่งสำคัญที่จะต้องใช้สิ่งที่เรียกว่ามาสก์ที่ส่งรังสีความเข้มสูงไปยังบางพื้นที่เท่านั้น มาสก์สามารถเปรียบเทียบได้กับฟิล์มเนกาทีฟขาวดำ วงจรรวมมีหลายชั้น (20 ชั้นขึ้นไป) และแต่ละชั้นต้องใช้หน้ากากของตัวเอง

พื้นผิวของแผ่นกระจกควอตซ์ใช้โครงสร้างของฟิล์มโครเมียมบางๆ เพื่อสร้างลวดลาย ในกระบวนการนี้ เครื่องมือราคาแพงที่ใช้กระแสอิเล็กตรอนหรือเลเซอร์จะเขียนข้อมูลวงจรรวมที่จำเป็น ส่งผลให้เกิดรูปแบบโครเมียมบนพื้นผิวของซับสเตรตควอทซ์ สิ่งสำคัญคือต้องเข้าใจว่าการดัดแปลงวงจรรวมแต่ละครั้งนำไปสู่ความจำเป็นในการผลิตมาสก์ใหม่ ดังนั้นกระบวนการเปลี่ยนแปลงทั้งหมดจึงมีราคาแพงมาก

การพิมพ์หินด้วยแสง

ด้วยการใช้โฟโตลิโทกราฟี โครงสร้างจะถูกสร้างขึ้นบนพื้นผิวซิลิกอน กระบวนการนี้ทำซ้ำหลายครั้งจนกระทั่งสร้างหลายเลเยอร์ (มากกว่า 20) ชั้นต่างๆ อาจประกอบด้วยวัสดุที่แตกต่างกัน และคุณต้องพิจารณาการเชื่อมต่อกับสายไฟขนาดเล็กด้วย ทุกเลเยอร์สามารถผสม Wood, A. ไมโครโปรเซสเซอร์ในคำถามและคำตอบ - M. , 2005.-P.87

ก่อนที่กระบวนการพิมพ์หินด้วยแสงจะเริ่มขึ้น พื้นผิวจะถูกทำความสะอาดและให้ความร้อนเพื่อขจัดอนุภาคเหนียวและน้ำ จากนั้นพื้นผิวจะถูกเคลือบด้วยซิลิคอนไดออกไซด์โดยใช้อุปกรณ์พิเศษ ถัดไป สารเชื่อมต่อจะถูกนำไปใช้กับซับสเตรต ซึ่งช่วยให้มั่นใจได้ว่าวัสดุไวแสงที่จะใช้ในขั้นตอนถัดไปจะยังคงอยู่บนซับสเตรต วัสดุไวแสงจะถูกนำไปใช้กับตรงกลางของวัสดุพิมพ์ ซึ่งจากนั้นจะเริ่มหมุนด้วยความเร็วสูง เพื่อให้ชั้นมีการกระจายอย่างเท่าเทียมกันทั่วทั้งพื้นผิวของวัสดุพิมพ์ จากนั้นวัสดุพิมพ์จะถูกให้ความร้อนอีกครั้ง หลักการทำงานของการพิมพ์หินด้วยแสงแสดงไว้ในรูปที่ 2


รูปที่ 2 หลักการทำงานของการพิมพ์หินด้วยแสง

จากนั้น ฝาครอบจะถูกฉายรังสีด้วยควอนตัมเลเซอร์, รังสีอัลตราไวโอเลตชนิดแข็ง, รังสีเอกซ์, ลำแสงอิเล็กตรอนหรือไอออนผ่านหน้ากาก - สามารถใช้แหล่งแสงหรือพลังงานทั้งหมดนี้ได้ ลำแสงอิเล็กตรอนใช้เพื่อสร้างหน้ากากเป็นหลัก รังสีเอกซ์และลำแสงไอออนใช้เพื่อวัตถุประสงค์ในการวิจัย และการผลิตทางอุตสาหกรรมในปัจจุบันถูกครอบงำด้วยรังสียูวีชนิดแข็งและเลเซอร์แก๊ส

รังสี UV ที่รุนแรงที่มีความยาวคลื่น 13.5 นาโนเมตรจะฉายรังสีวัสดุต้านทานแสงขณะที่มันผ่านหน้ากาก เวลาฉายภาพและโฟกัสมีความสำคัญมากในการบรรลุผลตามที่ต้องการ การโฟกัสที่ไม่ดีจะส่งผลให้มีอนุภาคของวัสดุต้านทานแสงเหลืออยู่ เนื่องจากรูบางรูในหน้ากากไม่ได้รับการฉายรังสีอย่างเหมาะสม สิ่งเดียวกันนี้จะเกิดขึ้นหากเวลาในการฉายภาพสั้นเกินไป จากนั้นโครงสร้างของวัสดุไวแสงจะกว้างเกินไป พื้นที่ใต้รูจะได้รับแสงน้อยเกินไป ในทางกลับกัน เวลาในการฉายภาพที่มากเกินไปจะทำให้พื้นที่ใต้รูมีขนาดใหญ่เกินไป และทำให้โครงสร้างของวัสดุต้านทานแสงแคบเกินไป ตามกฎแล้ว ต้องใช้แรงงานมาก และยากต่อการปรับและเพิ่มประสิทธิภาพกระบวนการ การปรับที่ไม่สำเร็จจะนำไปสู่การเบี่ยงเบนอย่างรุนแรงในตัวนำที่เชื่อมต่อ Maiorov, S.I. ธุรกิจสารสนเทศ: การจัดจำหน่ายและการตลาดเชิงพาณิชย์ - ม., 2550 -หน้า 147..การติดตั้งการฉายภาพแบบพิเศษทีละขั้นตอนจะย้ายวัสดุพิมพ์ไปยังตำแหน่งที่ต้องการ จากนั้นสามารถฉายเส้นหรือส่วนหนึ่งส่วนได้ซึ่งส่วนใหญ่มักจะสอดคล้องกับชิปประมวลผลตัวเดียว การติดตั้งแบบไมโครเพิ่มเติมอาจทำให้เกิดการเปลี่ยนแปลงอื่นๆ พวกเขาสามารถแก้ไขข้อบกพร่องของเทคโนโลยีที่มีอยู่และเพิ่มประสิทธิภาพกระบวนการทางเทคนิคได้ Kukin, V.N. สารสนเทศ: องค์กรและการจัดการ.-ม., 2548.-หน้า 78.. การติดตั้งแบบไมโครมักจะทำงานในพื้นที่น้อยกว่า 1 ตร.ม. มม. ในขณะที่การติดตั้งแบบธรรมดาครอบคลุมพื้นที่ขนาดใหญ่

มีกระบวนการกัดกรดแบบเปียกและแบบแห้งที่ใช้บำบัดบริเวณที่มีซิลิคอนไดออกไซด์ กระบวนการแบบเปียกใช้สารประกอบทางเคมี ในขณะที่กระบวนการแบบแห้งใช้แก๊ส กระบวนการที่แยกจากกันเกี่ยวข้องกับการนำวัสดุไวแสงที่ตกค้างออก ผู้ผลิตมักจะรวมเอาการถอดแบบเปียกและแบบแห้งเพื่อให้แน่ใจว่าวัสดุต้านทานแสงจะถูกกำจัดออกจนหมด สิ่งนี้สำคัญเนื่องจากวัสดุไวแสงเป็นสารอินทรีย์ และหากไม่เอาออกอาจทำให้เกิดข้อบกพร่องบนวัสดุพิมพ์ได้

หลังจากการกัดและทำความสะอาด คุณสามารถเริ่มตรวจสอบวัสดุพิมพ์ ซึ่งมักจะเกิดขึ้นในแต่ละขั้นตอนที่สำคัญ หรือถ่ายโอนวัสดุพิมพ์ไปยังวงจรการถ่ายภาพด้วยแสงใหม่ การทดสอบวัสดุพิมพ์แสดงในรูปที่ 3


รูปที่ 3 การทดสอบพื้นผิว

วัสดุพิมพ์ที่เสร็จแล้วจะได้รับการทดสอบในสิ่งที่เรียกว่าการติดตั้งการทดสอบด้วยโพรบ ใช้งานได้กับวัสดุพิมพ์ทั้งหมด หน้าสัมผัสของโพรบจะถูกนำไปใช้กับหน้าสัมผัสของคริสตัลแต่ละตัว เพื่อให้สามารถดำเนินการทดสอบทางไฟฟ้าได้ ซอฟต์แวร์จะทดสอบฟังก์ชันทั้งหมดของแต่ละคอร์ การตัดวัสดุพิมพ์จะแสดงในรูปที่ 4

รูปภาพ 4. การตัดวัสดุพิมพ์

โดยการตัด สามารถรับเมล็ดแต่ละเมล็ดได้จากวัสดุพิมพ์ ในขณะนี้ การติดตั้งตัวควบคุมโพรบได้ระบุแล้วว่าคริสตัลใดมีข้อผิดพลาด ดังนั้นหลังจากการตัดแล้ว จึงสามารถแยกออกจากคริสตัลที่ดีได้ ก่อนหน้านี้คริสตัลที่เสียหายถูกทำเครื่องหมายทางกายภาพ ตอนนี้ไม่จำเป็นแล้ว ข้อมูลทั้งหมดจะถูกเก็บไว้ในฐานข้อมูลเดียว Semenenko, V. A. , Stupin Yu. V. คู่มือเทคโนโลยีคอมพิวเตอร์อิเล็กทรอนิกส์ - ป.45..

แกนการทำงานจะต้องถูกเชื่อมเข้ากับแพ็คเกจโปรเซสเซอร์โดยใช้วัสดุกาว หลังจากนั้นคุณจะต้องทำการเชื่อมต่อสายไฟเพื่อเชื่อมต่อหน้าสัมผัสหรือขาของบรรจุภัณฑ์และตัวคริสตัลเอง (รูปที่ 5) สามารถใช้การเชื่อมต่อทอง อลูมิเนียม หรือทองแดงได้

โปรเซสเซอร์ที่ทันสมัยส่วนใหญ่ใช้บรรจุภัณฑ์พลาสติกที่มีตัวกระจายความร้อน โดยทั่วไปแล้วแกนจะหุ้มด้วยเซรามิกหรือพลาสติกเพื่อป้องกันความเสียหาย โปรเซสเซอร์สมัยใหม่ได้รับการติดตั้งสิ่งที่เรียกว่าตัวกระจายความร้อน ซึ่งให้การปกป้องชิปเพิ่มเติม (รูปที่ 6)


รูปที่ 5 การเชื่อมต่อสายไฟของพื้นผิว

ขั้นตอนสุดท้ายเกี่ยวข้องกับการทดสอบโปรเซสเซอร์ซึ่งเกิดขึ้นที่อุณหภูมิสูงตามข้อกำหนดเฉพาะของโปรเซสเซอร์ โปรเซสเซอร์จะถูกติดตั้งโดยอัตโนมัติในซ็อกเก็ตทดสอบ หลังจากนั้นจะวิเคราะห์ฟังก์ชันที่จำเป็นทั้งหมด

รูปภาพ 6. แพคเกจโปรเซสเซอร์

ไมโครวงจรถูกสร้างขึ้นมาอย่างไร?

เพื่อให้เข้าใจว่าความแตกต่างที่สำคัญระหว่างเทคโนโลยีทั้งสองนี้คืออะไร จำเป็นต้องศึกษาเทคโนโลยีการผลิตโปรเซสเซอร์สมัยใหม่หรือวงจรรวมแบบสั้น ๆ

ดังที่คุณทราบจากหลักสูตรฟิสิกส์ของโรงเรียน ในอุปกรณ์อิเล็กทรอนิกส์สมัยใหม่ ส่วนประกอบหลักของวงจรรวมคือเซมิคอนดักเตอร์ชนิด p และชนิด n (ขึ้นอยู่กับประเภทของการนำไฟฟ้า) เซมิคอนดักเตอร์เป็นสารที่มีค่าการนำไฟฟ้าดีกว่าไดอิเล็กทริก แต่ด้อยกว่าโลหะ พื้นฐานของเซมิคอนดักเตอร์ทั้งสองประเภทสามารถเป็นซิลิคอน (Si) ซึ่งในรูปแบบบริสุทธิ์ (ที่เรียกว่าเซมิคอนดักเตอร์ภายใน) นำกระแสไฟฟ้าได้ไม่ดี แต่การเติม (การแนะนำ) ของสิ่งเจือปนบางอย่างลงในซิลิคอนสามารถเปลี่ยนคุณสมบัติการนำไฟฟ้าได้อย่างรุนแรง . สิ่งเจือปนมีสองประเภท: ผู้บริจาคและผู้รับ สิ่งเจือปนของผู้บริจาคนำไปสู่การก่อตัวของเซมิคอนดักเตอร์ชนิด n ที่มีความนำไฟฟ้าประเภทอิเล็กทรอนิกส์ และสิ่งเจือปนของตัวรับนำไปสู่การก่อตัวของเซมิคอนดักเตอร์ชนิด p ที่มีความนำไฟฟ้าชนิดรู หน้าสัมผัสของ p- และ n-เซมิคอนดักเตอร์ทำให้สามารถสร้างทรานซิสเตอร์ซึ่งเป็นองค์ประกอบโครงสร้างหลักของวงจรไมโครสมัยใหม่ ทรานซิสเตอร์เหล่านี้ เรียกว่าทรานซิสเตอร์แบบ CMOS สามารถมีอยู่ได้ในสองสถานะพื้นฐาน: เปิด เมื่อนำไฟฟ้า และปิด เมื่อไม่นำไฟฟ้า เนื่องจากทรานซิสเตอร์ CMOS เป็นองค์ประกอบหลักของวงจรไมโครสมัยใหม่เราจึงมาพูดถึงรายละเอียดเพิ่มเติมกันดีกว่า

ทรานซิสเตอร์ CMOS ทำงานอย่างไร?

ทรานซิสเตอร์ CMOS ชนิด n ที่ง่ายที่สุดมีอิเล็กโทรดสามอิเล็กโทรด: แหล่งกำเนิด เกท และเดรน ตัวทรานซิสเตอร์นั้นทำจากเซมิคอนดักเตอร์ชนิด p ที่มีค่าการนำไฟฟ้าของรูและเซมิคอนดักเตอร์ชนิด n ที่มีค่าการนำไฟฟ้าจะเกิดขึ้นในบริเวณท่อระบายน้ำและแหล่งกำเนิด โดยธรรมชาติ เนื่องจากการแพร่กระจายของรูจากบริเวณ p ไปยังบริเวณ n และการแพร่กระจายแบบย้อนกลับของอิเล็กตรอนจากบริเวณ n ไปยังบริเวณ p ชั้นพร่อง (ชั้นที่ไม่มีตัวพาประจุหลัก) จึงถูกสร้างขึ้น ที่ขอบเขตของการเปลี่ยนผ่านของภูมิภาค p- และ n ในสถานะปกติ กล่าวคือ เมื่อไม่มีแรงดันไฟฟ้าจ่ายไปที่เกต ทรานซิสเตอร์จะอยู่ในสถานะ "ล็อค" กล่าวคือ ไม่สามารถนำกระแสไฟฟ้าจากแหล่งกำเนิดไปยังระบายได้ สถานการณ์จะไม่เปลี่ยนแปลงแม้ว่าจะมีการใช้แรงดันไฟฟ้าระหว่างท่อระบายน้ำและแหล่งกำเนิด (เราไม่คำนึงถึงกระแสรั่วไหลที่เกิดจากการเคลื่อนไหวภายใต้อิทธิพลของสนามไฟฟ้าที่สร้างขึ้นของตัวพาประจุชนกลุ่มน้อยนั่นคือรูสำหรับ n-region และอิเล็กตรอนสำหรับ p-region)

อย่างไรก็ตาม หากมีการใช้ศักยภาพเชิงบวกกับประตู (รูปที่ 1) สถานการณ์จะเปลี่ยนไปอย่างรุนแรง ภายใต้อิทธิพลของสนามไฟฟ้าของเกต รูจะถูกผลักลึกเข้าไปในพีเซมิคอนดักเตอร์ และในทางกลับกัน อิเล็กตรอนจะถูกดึงเข้าไปในพื้นที่ใต้เกต ทำให้เกิดช่องที่อุดมด้วยอิเล็กตรอนระหว่างแหล่งกำเนิดและท่อระบาย ถ้าแรงดันไฟฟ้าบวกถูกจ่ายไปที่ประตู อิเล็กตรอนเหล่านี้จะเริ่มเคลื่อนที่จากแหล่งกำเนิดไปยังท่อระบายน้ำ ในกรณีนี้ ทรานซิสเตอร์จะนำกระแสไฟฟ้า ทรานซิสเตอร์จะบอกว่า "เปิด" หากแรงดันเกตถูกลบออก อิเล็กตรอนจะหยุดถูกดึงเข้าไปในพื้นที่ระหว่างแหล่งกำเนิดและท่อระบาย ช่องนำไฟฟ้าจะถูกทำลาย และทรานซิสเตอร์จะหยุดส่งกระแส กล่าวคือ "ปิด" ดังนั้น ด้วยการเปลี่ยนแรงดันเกต คุณสามารถเปิดหรือปิดทรานซิสเตอร์ได้ เช่นเดียวกับที่คุณสามารถเปิดหรือปิดสวิตช์สลับปกติ เพื่อควบคุมการไหลของกระแสผ่านวงจร นี่คือสาเหตุที่บางครั้งเรียกว่าสวิตช์อิเล็กทรอนิกส์ อย่างไรก็ตาม ทรานซิสเตอร์ CMOS แตกต่างจากสวิตช์เชิงกลทั่วไปตรงที่ปราศจากความเฉื่อยและสามารถสลับจากเปิดเป็นปิดได้หลายล้านล้านครั้งต่อวินาที! มันเป็นลักษณะนี้นั่นคือความสามารถในการสลับทันทีซึ่งท้ายที่สุดจะกำหนดประสิทธิภาพของโปรเซสเซอร์ซึ่งประกอบด้วยทรานซิสเตอร์ธรรมดา ๆ หลายสิบล้านตัว

ดังนั้นวงจรรวมสมัยใหม่จึงประกอบด้วยทรานซิสเตอร์ CMOS แบบธรรมดาหลายสิบล้านตัว ให้เราดูรายละเอียดเพิ่มเติมเกี่ยวกับกระบวนการผลิตไมโครวงจรขั้นตอนแรกคือการผลิตพื้นผิวซิลิกอน

ขั้นตอนที่ 1 การปลูกช่องว่าง

การสร้างพื้นผิวดังกล่าวเริ่มต้นด้วยการปลูกผลึกเดี่ยวซิลิกอนทรงกระบอก ต่อจากนั้น ช่องว่าง (ช่องว่าง) โมโนคริสตัลไลน์เหล่านี้จะถูกตัดเป็นเวเฟอร์ทรงกลม (เวเฟอร์) ซึ่งมีความหนาประมาณ 1/40 นิ้ว และมีเส้นผ่านศูนย์กลาง 200 มม. (8 นิ้ว) หรือ 300 มม. (12 นิ้ว) เหล่านี้เป็นพื้นผิวซิลิกอนที่ใช้สำหรับการผลิตไมโครวงจร

เมื่อสร้างเวเฟอร์จากผลึกเดี่ยวของซิลิคอน ข้อเท็จจริงที่ว่าสำหรับโครงสร้างผลึกในอุดมคตินั้น คุณสมบัติทางกายภาพส่วนใหญ่ขึ้นอยู่กับทิศทางที่เลือก (คุณสมบัติแอนไอโซโทรปี) จะถูกนำมาพิจารณาด้วย ตัวอย่างเช่น ความต้านทานของพื้นผิวซิลิกอนจะแตกต่างกันในทิศทางตามยาวและตามขวาง ในทำนองเดียวกัน ขึ้นอยู่กับการวางแนวของโครงตาข่ายคริสตัล ผลึกซิลิคอนจะมีปฏิกิริยาแตกต่างกันต่ออิทธิพลภายนอกใดๆ ที่เกี่ยวข้องกับการประมวลผลเพิ่มเติม (เช่น การแกะสลัก การสปัตเตอร์ ฯลฯ) ดังนั้นจึงต้องตัดแผ่นจากผลึกเดี่ยวในลักษณะที่การวางแนวของโครงตาข่ายคริสตัลที่สัมพันธ์กับพื้นผิวได้รับการดูแลอย่างเคร่งครัดในทิศทางที่แน่นอน

ตามที่ระบุไว้แล้ว เส้นผ่านศูนย์กลางของชิ้นงานผลึกเดี่ยวซิลิคอนคือ 200 หรือ 300 มม. นอกจากนี้ เส้นผ่านศูนย์กลาง 300 มม. ยังเป็นเทคโนโลยีที่ค่อนข้างใหม่ ซึ่งเราจะกล่าวถึงด้านล่าง เป็นที่ชัดเจนว่าแผ่นที่มีเส้นผ่านศูนย์กลางนี้สามารถรองรับไมโครวงจรได้มากกว่าหนึ่งวงจรแม้ว่าเราจะพูดถึงโปรเซสเซอร์ Intel Pentium 4 ก็ตาม อันที่จริงไมโครวงจร (โปรเซสเซอร์) หลายโหลนั้นถูกสร้างขึ้นบนแผ่นวัสดุพิมพ์แผ่นเดียว แต่เพื่อความเรียบง่ายเราจะทำ พิจารณาเฉพาะกระบวนการที่เกิดขึ้นในพื้นที่เล็ก ๆ ของไมโครโปรเซสเซอร์ตัวเดียวในอนาคต

ขั้นตอนที่ 2 การติดฟิล์มป้องกันอิเล็กทริก (SiO2)

หลังจากการก่อตัวของสารตั้งต้นซิลิกอน ขั้นตอนการสร้างโครงสร้างเซมิคอนดักเตอร์ที่ซับซ้อนก็เริ่มต้นขึ้น

ในการทำเช่นนี้จำเป็นต้องแนะนำสิ่งที่เรียกว่าสิ่งเจือปนของผู้บริจาคและตัวรับเข้าไปในซิลิคอน อย่างไรก็ตาม คำถามเกิดขึ้น: จะใส่สิ่งเจือปนตามรูปแบบที่ระบุไว้อย่างแม่นยำได้อย่างไร เพื่อให้สิ่งนี้เป็นไปได้ พื้นที่เหล่านั้นที่ไม่จำเป็นต้องเติมสิ่งเจือปนจะได้รับการปกป้องด้วยฟิล์มพิเศษของซิลิคอนไดออกไซด์ เหลือเพียงพื้นที่ที่สัมผัสและต้องผ่านกระบวนการต่อไป (รูปที่ 2) กระบวนการสร้างฟิล์มป้องกันตามรูปแบบที่ต้องการประกอบด้วยหลายขั้นตอน

ในขั้นตอนแรก แผ่นเวเฟอร์ซิลิคอนทั้งหมดถูกปกคลุมด้วยฟิล์มบางของซิลิคอนไดออกไซด์ (SiO2) ทั้งหมด ซึ่งเป็นฉนวนที่ดีมาก และทำหน้าที่เป็นฟิล์มป้องกันในระหว่างการประมวลผลต่อไปของผลึกซิลิคอน วางเวเฟอร์ไว้ในห้องที่อุณหภูมิสูง (900 ถึง 1100 °C) และความดัน ออกซิเจนจะกระจายเข้าสู่ชั้นผิวของเวเฟอร์ นำไปสู่การออกซิเดชันของซิลิคอนและการก่อตัวของฟิล์มพื้นผิวของซิลิคอนไดออกไซด์ เพื่อให้ฟิล์มซิลิคอนไดออกไซด์มีความหนาที่ระบุอย่างแม่นยำและปราศจากข้อบกพร่อง จำเป็นต้องรักษาอุณหภูมิให้คงที่ในทุกจุดของแผ่นเวเฟอร์อย่างเคร่งครัดในระหว่างกระบวนการออกซิเดชั่น หากไม่ได้คลุมแผ่นเวเฟอร์ทั้งหมดด้วยฟิล์มซิลิคอนไดออกไซด์ ขั้นแรกให้ใช้มาส์ก Si3N4 กับซับสเตรตซิลิกอนเพื่อป้องกันการเกิดออกซิเดชันที่ไม่พึงประสงค์

ขั้นตอนที่ 3 การใช้เครื่องฉายแสง

หลังจากที่พื้นผิวซิลิกอนถูกปกคลุมด้วยฟิล์มป้องกันของซิลิคอนไดออกไซด์แล้ว จำเป็นต้องเอาฟิล์มนี้ออกจากบริเวณที่จะต้องมีการประมวลผลเพิ่มเติม ฟิล์มจะถูกลอกออกโดยการกัดผิว และเพื่อปกป้องพื้นที่ที่เหลือจากการกัดผิว จึงมีการใช้ชั้นที่เรียกว่าโฟโตรีซิสต์บนพื้นผิวของแผ่นเวเฟอร์ คำว่า "สารต้านทานแสง" หมายถึงสารประกอบที่ไวต่อแสงและทนทานต่อปัจจัยที่ลุกลาม องค์ประกอบที่ใช้ต้องมีคุณสมบัติในการถ่ายภาพบางประการ (ภายใต้อิทธิพลของแสงอัลตราไวโอเลต สารเหล่านี้จะละลายได้และถูกชะล้างออกไปในระหว่างกระบวนการกัดกรด) และในอีกด้านหนึ่ง ต้านทานได้ ทำให้พวกเขาทนต่อการกัดกรดและด่างได้ , เครื่องทำความร้อน ฯลฯ วัตถุประสงค์หลักของสารต้านทานแสงคือการสร้างส่วนนูนในการป้องกันของโครงสร้างที่ต้องการ

กระบวนการของการใช้โฟโตรีซิสต์และการฉายรังสีเพิ่มเติมด้วยแสงอัลตราไวโอเลตตามรูปแบบที่กำหนดเรียกว่าโฟโตลิโทกราฟีและรวมถึงการดำเนินการพื้นฐานดังต่อไปนี้: การก่อตัวของชั้นโฟโตรีซิสต์ (การประมวลผลของพื้นผิว, การใช้งาน, การอบแห้ง), การก่อตัวของการบรรเทาการป้องกัน (การสัมผัส, การพัฒนา การทำให้แห้ง) และการถ่ายโอนภาพไปยังวัสดุพิมพ์ (การกัด การสปัตเตอร์ ฯลฯ)

ก่อนที่จะใช้ชั้นของโฟโตรีซิสต์ (รูปที่ 3) กับสารตั้งต้น ชั้นหลังจะต้องได้รับการบำบัดล่วงหน้า ซึ่งส่งผลให้การยึดเกาะกับชั้นของโฟโตรีซิสต์ดีขึ้น หากต้องการใช้โฟโตรีซิสต์ที่มีชั้นสม่ำเสมอ จะใช้วิธีการหมุนเหวี่ยง สารตั้งต้นจะถูกวางบนจานหมุน (เครื่องหมุนเหวี่ยง) และภายใต้อิทธิพลของแรงเหวี่ยงหนีศูนย์ สารต้านทานแสงจะถูกกระจายไปบนพื้นผิวของสารตั้งต้นในชั้นที่เกือบจะสม่ำเสมอ (เมื่อพูดถึงชั้นที่เกือบจะสม่ำเสมอ เราคำนึงถึงข้อเท็จจริงที่ว่าภายใต้อิทธิพลของแรงเหวี่ยง ความหนาของฟิล์มที่ได้จะเพิ่มขึ้นจากกึ่งกลางไปจนถึงขอบ อย่างไรก็ตาม วิธีการใช้โฟโตรีซิสต์นี้สามารถทนต่อความผันผวนในชั้นได้ ความหนาภายใน ±10%)

ขั้นตอนที่ 4 การพิมพ์หิน

หลังจากทาและทำให้ชั้นโฟโตรีซิสต์แห้งแล้ว ขั้นตอนการก่อตัวของการป้องกันที่จำเป็นจะเริ่มขึ้น ความโล่งใจเกิดขึ้นจากความจริงที่ว่าภายใต้อิทธิพลของรังสีอัลตราไวโอเลตที่ตกลงบนพื้นที่บางส่วนของชั้นโฟโตรีซิสต์ส่วนหลังจะเปลี่ยนคุณสมบัติความสามารถในการละลายเช่นบริเวณที่ส่องสว่างจะหยุดละลายในตัวทำละลายซึ่งจะลบพื้นที่ของ ชั้นที่ไม่ได้สัมผัสกับแสงสว่างหรือในทางกลับกัน - พื้นที่ที่ถูกส่องสว่างจะละลาย ขึ้นอยู่กับวิธีการของการก่อตัวโล่งใจ photoresists จะถูกแบ่งออกเป็นเชิงลบและบวก ตัวต้านทานแสงเชิงลบเมื่อสัมผัสกับรังสีอัลตราไวโอเลตจะก่อตัวเป็นพื้นที่บรรเทาทุกข์ ในทางกลับกัน นักไวแสงที่เป็นบวกภายใต้อิทธิพลของรังสีอัลตราไวโอเลตจะได้รับคุณสมบัติการไหลและถูกชะล้างออกด้วยตัวทำละลาย ดังนั้นจึงมีชั้นป้องกันเกิดขึ้นในบริเวณที่ไม่ได้รับการฉายรังสีอัลตราไวโอเลต

เพื่อส่องสว่างบริเวณที่ต้องการของชั้นโฟโตรีซิสต์ จึงมีการใช้เทมเพลตมาสก์พิเศษ ส่วนใหญ่แล้วแผ่นแก้วแสงที่มีองค์ประกอบทึบแสงที่ได้รับจากการถ่ายภาพหรือใช้เพื่อจุดประสงค์นี้ ในความเป็นจริงเทมเพลตดังกล่าวมีภาพวาดของหนึ่งในเลเยอร์ของวงจรไมโครในอนาคต (รวมอาจมีได้หลายร้อยเลเยอร์) เนื่องจากเทมเพลตนี้เป็นข้อมูลอ้างอิง จึงต้องทำด้วยความแม่นยำสูง นอกจากนี้เมื่อคำนึงถึงข้อเท็จจริงที่ว่าแผ่นภาพจำนวนมากจะทำจากโฟโต้มาสก์เพียงแผ่นเดียว จะต้องมีความทนทานและทนต่อความเสียหาย จากนี้เห็นได้ชัดว่าโฟโตมาสก์เป็นสิ่งที่มีราคาแพงมาก: ขึ้นอยู่กับความซับซ้อนของไมโครเซอร์กิตอาจมีราคาหลายหมื่นดอลลาร์

รังสีอัลตราไวโอเลตที่ผ่านเทมเพลตดังกล่าว (รูปที่ 4) จะส่องสว่างเฉพาะบริเวณที่จำเป็นของพื้นผิวของชั้นโฟโตรีซิส หลังจากการฉายรังสี photoresist จะได้รับการพัฒนาซึ่งเป็นผลมาจากการลบพื้นที่ที่ไม่จำเป็นของชั้นออก สิ่งนี้จะเผยให้เห็นส่วนที่สอดคล้องกันของชั้นซิลิคอนไดออกไซด์

แม้ว่ากระบวนการโฟโตลิโทกราฟิกจะดูเรียบง่ายอย่างเห็นได้ชัด แต่ขั้นตอนการผลิตไมโครวงจรนี้ซับซ้อนที่สุด ความจริงก็คือตามคำทำนายของมัวร์ จำนวนทรานซิสเตอร์บนชิปตัวเดียวเพิ่มขึ้นแบบทวีคูณ (เพิ่มเป็นสองเท่าทุก ๆ สองปี) การเพิ่มจำนวนทรานซิสเตอร์ดังกล่าวเกิดขึ้นได้เนื่องจากขนาดที่ลดลง แต่เป็นการลดลงอย่างแม่นยำที่ "พัก" ในกระบวนการพิมพ์หิน เพื่อให้ทรานซิสเตอร์มีขนาดเล็กลง จำเป็นต้องลดขนาดทางเรขาคณิตของเส้นที่ใช้กับชั้นโฟโตรีซิสต์ แต่ทุกสิ่งมีขีดจำกัด การโฟกัสลำแสงเลเซอร์ไปยังจุดนั้นไม่ใช่เรื่องง่าย ความจริงก็คือตามกฎของเลนส์คลื่น ขนาดต่ำสุดของจุดที่ลำแสงเลเซอร์ถูกโฟกัส (ในความเป็นจริง มันไม่ใช่แค่จุด แต่เป็นรูปแบบการเลี้ยวเบน) ถูกกำหนด ท่ามกลางปัจจัยอื่นๆ ด้วยความยาวคลื่นของแสง การพัฒนาเทคโนโลยีการพิมพ์หินนับตั้งแต่มีการประดิษฐ์ขึ้นในช่วงต้นทศวรรษที่ 70 เป็นไปในทิศทางของการลดความยาวคลื่นของแสง นี่คือสิ่งที่ทำให้สามารถลดขนาดขององค์ประกอบของวงจรรวมได้ ตั้งแต่ช่วงกลางทศวรรษที่ 80 การพิมพ์หินด้วยแสงเริ่มใช้รังสีอัลตราไวโอเลตที่ผลิตโดยเลเซอร์ แนวคิดนั้นง่ายมาก: ความยาวคลื่นของรังสีอัลตราไวโอเลตนั้นสั้นกว่าความยาวคลื่นของแสงที่มองเห็นได้ ดังนั้นจึงเป็นไปได้ที่จะได้เส้นที่ละเอียดกว่าบนพื้นผิวของตัวต้านทานแสง จนกระทั่งเมื่อไม่นานมานี้ การพิมพ์หินใช้รังสีอัลตราไวโอเลตระดับลึก (Deep Ultra Violet, DUV) ที่มีความยาวคลื่น 248 นาโนเมตร อย่างไรก็ตาม เมื่อการพิมพ์หินด้วยแสงเคลื่อนที่เกิน 200 นาโนเมตร ปัญหาร้ายแรงก็เกิดขึ้นจนเป็นครั้งแรกที่ทำให้เกิดความสงสัยในการใช้เทคโนโลยีนี้ต่อไป ตัวอย่างเช่น ที่ความยาวคลื่นน้อยกว่า 200 ไมครอน ชั้นไวแสงจะดูดซับแสงมากเกินไป ซึ่งจะทำให้กระบวนการถ่ายโอนเทมเพลตวงจรไปยังโปรเซสเซอร์มีความซับซ้อนและช้าลง ปัญหาเช่นนี้กระตุ้นให้นักวิจัยและผู้ผลิตมองหาทางเลือกอื่นนอกเหนือจากเทคโนโลยีการพิมพ์หินแบบดั้งเดิม

เทคโนโลยีการพิมพ์หินใหม่ที่เรียกว่า EUV lithography (รังสีอัลตราไวโอเลตชนิดแข็งพิเศษ UltraViolet) มีพื้นฐานมาจากการใช้รังสีอัลตราไวโอเลตที่มีความยาวคลื่น 13 นาโนเมตร

การเปลี่ยนจากการพิมพ์หิน DUV ไปเป็น EUV ช่วยลดความยาวคลื่นได้มากกว่า 10 เท่าและเปลี่ยนเป็นช่วงที่เทียบได้กับขนาดอะตอมเพียงไม่กี่สิบอะตอม

เทคโนโลยีการพิมพ์หินในปัจจุบันช่วยให้สามารถสร้างรูปแบบที่มีความกว้างของตัวนำขั้นต่ำ 100 นาโนเมตร ในขณะที่การพิมพ์หิน EUV ทำให้สามารถพิมพ์เส้นที่มีความกว้างน้อยกว่ามากได้ถึง 30 นาโนเมตร การควบคุมรังสีที่สั้นเกินไปนั้นไม่ง่ายอย่างที่คิด เนื่องจากกระจกดูดซับรังสี EUV ได้ดี เทคโนโลยีใหม่จึงเกี่ยวข้องกับการใช้ชุดกระจกนูนพิเศษสี่ชุดที่ลดและโฟกัสภาพที่ได้รับหลังจากใช้มาส์ก (รูปที่ 5, ,) กระจกแต่ละบานประกอบด้วยชั้นโลหะ 80 ชั้น หนาประมาณ 12 อะตอม

ขั้นตอนที่ 5: การแกะสลัก

หลังจากเปิดเผยชั้นโฟโตรีซิสต์แล้ว ขั้นตอนการกัดจะเริ่มลอกฟิล์มซิลิคอนไดออกไซด์ออก (รูปที่ 8)

กระบวนการกัดกรดมักเกี่ยวข้องกับการอาบกรด วิธีการกัดกรดนี้เป็นที่รู้จักกันดีสำหรับนักวิทยุสมัครเล่นที่ทำแผงวงจรพิมพ์ของตนเอง เมื่อต้องการทำเช่นนี้ รูปแบบของแทร็กสำหรับบอร์ดในอนาคตจะถูกนำไปใช้กับ PCB เคลือบฟอยล์ที่มีสารเคลือบเงาซึ่งทำหน้าที่เป็นชั้นป้องกัน จากนั้นแผ่นจะถูกจุ่มลงในอ่างกรดไนตริก ส่วนที่ไม่จำเป็นของฟอยล์จะถูกแกะสลักออก เผยให้เห็น PCB ที่สะอาด วิธีนี้มีข้อเสียหลายประการ โดยสาเหตุหลักคือไม่สามารถควบคุมกระบวนการกำจัดชั้นได้อย่างแม่นยำ เนื่องจากมีปัจจัยมากเกินไปที่ส่งผลต่อกระบวนการกัดกรด เช่น ความเข้มข้นของกรด อุณหภูมิ การพาความร้อน ฯลฯ นอกจากนี้กรดยังทำปฏิกิริยากับวัสดุในทุกทิศทางและค่อยๆ แทรกซึมเข้าไปใต้ขอบของหน้ากากโฟโตรีซิสต์ นั่นคือมันจะทำลายชั้นที่ปกคลุมด้วยโฟโตรีซิสต์จากด้านข้าง ดังนั้นในการผลิตโปรเซสเซอร์จึงใช้วิธีการแกะสลักแบบแห้งหรือที่เรียกว่าพลาสมา วิธีนี้ช่วยให้สามารถควบคุมกระบวนการแกะสลักได้อย่างแม่นยำ และการทำลายชั้นแกะสลักจะเกิดขึ้นในทิศทางแนวตั้งอย่างเคร่งครัด

การกัดแบบแห้งใช้ก๊าซไอออไนซ์ (พลาสมา) เพื่อกำจัดซิลิคอนไดออกไซด์ออกจากพื้นผิวเวเฟอร์ ซึ่งทำปฏิกิริยากับพื้นผิวของซิลิคอนไดออกไซด์เพื่อผลิตผลพลอยได้ที่ระเหยได้

หลังจากขั้นตอนการแกะสลัก กล่าวคือ เมื่อพื้นที่ที่ต้องการของซิลิคอนบริสุทธิ์ถูกเปิดออก ส่วนที่เหลือของโฟโตเลเยอร์จะถูกเอาออก ดังนั้นรูปแบบที่สร้างโดยซิลิคอนไดออกไซด์จึงยังคงอยู่บนพื้นผิวซิลิกอน

ขั้นตอนที่ 6 การแพร่กระจาย (การฝังไอออน)

ขอให้เราระลึกว่ากระบวนการก่อนหน้านี้ในการสร้างรูปแบบที่ต้องการบนพื้นผิวซิลิกอนนั้นเป็นสิ่งจำเป็นเพื่อสร้างโครงสร้างเซมิคอนดักเตอร์ในตำแหน่งที่เหมาะสมโดยการแนะนำสิ่งเจือปนของผู้บริจาคหรือตัวรับ กระบวนการแนะนำสิ่งเจือปนนั้นดำเนินการผ่านการแพร่กระจาย (รูปที่ 9) การแนะนำอะตอมที่ไม่บริสุทธิ์เข้าไปในตาข่ายคริสตัลซิลิคอนอย่างสม่ำเสมอ เพื่อให้ได้สารกึ่งตัวนำชนิด n มักใช้พลวง สารหนู หรือฟอสฟอรัส เพื่อให้ได้สารกึ่งตัวนำชนิด p โบรอน แกลเลียม หรืออะลูมิเนียมจะถูกใช้เป็นสารเจือปน

การฝังไอออนใช้สำหรับกระบวนการแพร่กระจายสารเจือปน กระบวนการฝังตัวประกอบด้วยไอออนของสิ่งเจือปนที่ต้องการซึ่งถูก “ยิง” จากเครื่องเร่งไฟฟ้าแรงสูง และมีพลังงานเพียงพอ จึงเจาะเข้าไปในชั้นผิวของซิลิคอน

ดังนั้น เมื่อสิ้นสุดขั้นตอนการฝังไอออน ชั้นที่จำเป็นของโครงสร้างเซมิคอนดักเตอร์จึงได้ถูกสร้างขึ้น อย่างไรก็ตาม ในไมโครโปรเซสเซอร์อาจมีชั้นดังกล่าวหลายชั้น ในการสร้างเลเยอร์ถัดไปในรูปแบบวงจรผลลัพธ์ จะมีการเพิ่มชั้นซิลิคอนไดออกไซด์บางๆ เพิ่มเติม หลังจากนั้นจะเกิดการสะสมชั้นของโพลีคริสตัลไลน์ซิลิคอนและโฟโตรีซิสต์อีกชั้นหนึ่ง รังสีอัลตราไวโอเลตถูกส่งผ่านมาส์กที่สองและเน้นรูปแบบที่สอดคล้องกันบนเลเยอร์ภาพถ่าย จากนั้นอีกครั้ง ขั้นตอนของการละลายโฟโตเลเยอร์ การแกะสลัก และการฝังไอออน

ขั้นตอนที่ 7 การสปัตเตอร์และการทับถม

การประยุกต์ใช้เลเยอร์ใหม่จะดำเนินการหลายครั้งในขณะที่ "หน้าต่าง" ของการเชื่อมต่อระหว่างชั้นจะเหลืออยู่ในชั้นซึ่งเต็มไปด้วยอะตอมของโลหะ เป็นผลให้แถบโลหะที่เป็นสื่อกระแสไฟฟ้าถูกสร้างขึ้นบนคริสตัล ด้วยวิธีนี้ โปรเซสเซอร์สมัยใหม่จะสร้างการเชื่อมต่อระหว่างเลเยอร์ที่ก่อให้เกิดวงจรสามมิติที่ซับซ้อน กระบวนการเติบโตและแปรรูปทุกเลเยอร์ใช้เวลาหลายสัปดาห์ และวงจรการผลิตนั้นประกอบด้วยมากกว่า 300 ขั้นตอน เป็นผลให้โปรเซสเซอร์ที่เหมือนกันหลายร้อยตัวถูกสร้างขึ้นบนเวเฟอร์ซิลิคอน

เพื่อทนต่อแรงกระแทกที่เวเฟอร์ต้องเผชิญในระหว่างกระบวนการซ้อนชั้น ในตอนแรกเวเฟอร์ซิลิคอนจึงถูกสร้างให้ค่อนข้างหนา ดังนั้น ก่อนที่จะตัดเวเฟอร์เป็นโปรเซสเซอร์แต่ละตัว ความหนาของเวเฟอร์จะลดลง 33% และสิ่งสกปรกจะถูกกำจัดออกจากด้านหลัง จากนั้นชั้นของวัสดุพิเศษจะถูกนำไปใช้กับด้านหลังของพื้นผิวเพื่อปรับปรุงการยึดติดของคริสตัลกับตัวเครื่องของโปรเซสเซอร์ในอนาคต

ขั้นตอนที่ 8 ขั้นตอนสุดท้าย

เมื่อสิ้นสุดรอบการก่อตัว โปรเซสเซอร์ทั้งหมดจะได้รับการทดสอบอย่างละเอียด จากนั้น ผลึกเฉพาะที่ผ่านการทดสอบแล้วจะถูกตัดออกจากแผ่นซับสเตรตโดยใช้อุปกรณ์พิเศษ (รูปที่ 10)

ไมโครโปรเซสเซอร์แต่ละตัวถูกฝังอยู่ในเคสป้องกัน ซึ่งให้การเชื่อมต่อทางไฟฟ้าระหว่างชิปไมโครโปรเซสเซอร์และอุปกรณ์ภายนอกด้วย ประเภทของตัวเครื่องขึ้นอยู่กับประเภทและการใช้งานที่ต้องการของไมโครโปรเซสเซอร์

หลังจากปิดผนึกกล่องแล้ว ไมโครโปรเซสเซอร์แต่ละตัวจะถูกทดสอบซ้ำ โปรเซสเซอร์ที่ผิดพลาดจะถูกปฏิเสธ และโปรเซสเซอร์ที่ใช้งานได้จะต้องผ่านการทดสอบโหลด จากนั้นโปรเซสเซอร์จะถูกจัดเรียงตามลักษณะการทำงานของความเร็วสัญญาณนาฬิกาและแรงดันไฟฟ้าที่แตกต่างกัน

เทคโนโลยีที่มีแนวโน้ม

เราได้พิจารณากระบวนการทางเทคโนโลยีในการผลิตไมโครวงจร (โดยเฉพาะโปรเซสเซอร์) ในลักษณะที่เรียบง่ายมาก แต่ถึงแม้จะเป็นการนำเสนอแบบผิวเผินก็ช่วยให้เราเข้าใจปัญหาทางเทคโนโลยีที่ต้องเผชิญเมื่อลดขนาดของทรานซิสเตอร์

อย่างไรก็ตาม ก่อนที่จะพิจารณาเทคโนโลยีใหม่ที่มีแนวโน้ม เราจะตอบคำถามในตอนต้นของบทความ: มาตรฐานการออกแบบของกระบวนการทางเทคโนโลยีคืออะไร และในความเป็นจริงแล้ว มาตรฐานการออกแบบ 130 นาโนเมตรแตกต่างจากมาตรฐาน 180 อย่างไร นาโนเมตร? 130 นาโนเมตรหรือ 180 นาโนเมตร นี่คือระยะห่างขั้นต่ำลักษณะเฉพาะระหว่างสององค์ประกอบที่อยู่ติดกันในชั้นหนึ่งของไมโครวงจร นั่นคือขั้นตอนกริดชนิดหนึ่งที่องค์ประกอบของไมโครวงจรเชื่อมโยงกัน เห็นได้ชัดว่ายิ่งขนาดลักษณะนี้เล็กลงเท่าใด ทรานซิสเตอร์ก็ยิ่งสามารถวางบนพื้นที่เดียวกันของไมโครวงจรได้มากขึ้นเท่านั้น

ปัจจุบันโปรเซสเซอร์ Intel ใช้เทคโนโลยีการประมวลผล 0.13 ไมครอน เทคโนโลยีนี้ใช้ในการผลิตโปรเซสเซอร์ Intel Pentium 4 พร้อมแกน Northwood, โปรเซสเซอร์ Intel Pentium III พร้อมแกน Tualatin และโปรเซสเซอร์ Intel Celeron เมื่อใช้กระบวนการทางเทคโนโลยีความกว้างของช่องสัญญาณที่มีประโยชน์ของทรานซิสเตอร์คือ 60 นาโนเมตรและความหนาของชั้นเกทออกไซด์จะต้องไม่เกิน 1.5 นาโนเมตร โดยรวมแล้วโปรเซสเซอร์ Intel Pentium 4 มีทรานซิสเตอร์ 55 ล้านตัว

นอกจากการเพิ่มความหนาแน่นของทรานซิสเตอร์ในชิปโปรเซสเซอร์แล้ว เทคโนโลยี 0.13 ไมครอน ซึ่งมาแทนที่เทคโนโลยี 0.18 ไมครอน ยังมีนวัตกรรมอื่นๆ อีกด้วย ประการแรก ใช้การเชื่อมต่อทองแดงระหว่างทรานซิสเตอร์แต่ละตัว (ในเทคโนโลยี 0.18 ไมครอน การเชื่อมต่อเป็นอะลูมิเนียม) ประการที่สอง เทคโนโลยี 0.13 ไมครอนช่วยลดการใช้พลังงาน ตัวอย่างเช่น สำหรับอุปกรณ์เคลื่อนที่ หมายความว่าการใช้พลังงานของไมโครโปรเซสเซอร์จะน้อยลงและอายุการใช้งานแบตเตอรี่ก็นานขึ้น

นวัตกรรมล่าสุดที่ดำเนินการระหว่างการเปลี่ยนไปใช้กระบวนการทางเทคโนโลยี 0.13 ไมครอนคือการใช้เวเฟอร์ซิลิคอน (เวเฟอร์) ที่มีเส้นผ่านศูนย์กลาง 300 มม. ให้เราระลึกว่าก่อนหน้านี้ โปรเซสเซอร์และไมโครวงจรส่วนใหญ่ผลิตขึ้นโดยใช้เวเฟอร์ขนาด 200 มม.

การเพิ่มเส้นผ่านศูนย์กลางของเวเฟอร์ทำให้สามารถลดต้นทุนของโปรเซสเซอร์แต่ละตัวและเพิ่มผลผลิตของผลิตภัณฑ์ที่มีคุณภาพเพียงพอ อันที่จริงพื้นที่ของเวเฟอร์ที่มีเส้นผ่านศูนย์กลาง 300 มม. นั้นใหญ่กว่าพื้นที่ของเวเฟอร์ที่มีเส้นผ่านศูนย์กลาง 200 มม. 2.25 เท่า ดังนั้นจำนวนโปรเซสเซอร์ที่ได้รับจากเวเฟอร์หนึ่งตัวที่มีเส้นผ่านศูนย์กลาง 300 มม. มีขนาดใหญ่กว่าสองเท่า

ในปี พ.ศ. 2546 คาดว่าจะมีการเปิดตัวกระบวนการทางเทคโนโลยีใหม่ที่มีมาตรฐานการออกแบบที่เล็กกว่า นั่นคือ 90 นาโนเมตร กระบวนการใหม่ที่อินเทลจะผลิตผลิตภัณฑ์ส่วนใหญ่ของบริษัท รวมถึงโปรเซสเซอร์ ชิปเซ็ต และอุปกรณ์สื่อสาร ได้รับการพัฒนาที่โรงงานนำร่องเวเฟอร์ D1C 300 มม. ของอินเทลในเมืองฮิลส์โบโร รัฐออริกอน

เมื่อวันที่ 23 ตุลาคม พ.ศ. 2545 Intel ได้ประกาศเปิดโรงงานแห่งใหม่มูลค่า 2 พันล้านดอลลาร์ในเมืองริโอแรนโช รัฐนิวเม็กซิโก โรงงานแห่งใหม่ที่เรียกว่า F11X จะใช้เทคโนโลยีล้ำสมัยเพื่อผลิตโปรเซสเซอร์บนเวเฟอร์ขนาด 300 มม. โดยใช้กระบวนการออกแบบ 0.13 ไมครอน ในปี พ.ศ. 2546 โรงงานแห่งนี้จะถูกถ่ายโอนไปยังกระบวนการทางเทคโนโลยีด้วยมาตรฐานการออกแบบที่ 90 นาโนเมตร

นอกจากนี้ Intel ได้ประกาศกลับมาเริ่มการก่อสร้างโรงงานผลิตอีกแห่งที่ Fab 24 ในเมือง Leixlip (ไอร์แลนด์) ซึ่งได้รับการออกแบบมาเพื่อผลิตส่วนประกอบเซมิคอนดักเตอร์บนเวเฟอร์ซิลิคอนขนาด 300 มม. ด้วยมาตรฐานการออกแบบ 90 นาโนเมตร สถานประกอบการแห่งใหม่ที่มีพื้นที่รวมกว่า 1 ล้านตารางเมตร ด้วยห้องพักที่สะอาดเป็นพิเศษด้วยพื้นที่ 160,000 ตารางเมตร ft. คาดว่าจะเปิดดำเนินการได้ในช่วงครึ่งแรกของปี 2547 และจะจ้างพนักงานมากกว่าหนึ่งพันคน ต้นทุนของสิ่งอำนวยความสะดวกนี้อยู่ที่ประมาณ 2 พันล้านดอลลาร์

กระบวนการ 90 นาโนเมตรใช้เทคโนโลยีขั้นสูงมากมาย เหล่านี้เป็นทรานซิสเตอร์ CMOS ที่ผลิตจำนวนมากที่เล็กที่สุดในโลกโดยมีความยาวเกต 50 นาโนเมตร (รูปที่ 11) ซึ่งให้ประสิทธิภาพที่เพิ่มขึ้นในขณะที่ลดการใช้พลังงาน และชั้นเกตออกไซด์ที่บางที่สุดของทรานซิสเตอร์ใดๆ ที่เคยผลิตมา - เพียง 1.2 นาโนเมตร (รูปที่. 12) หรือน้อยกว่า 5 ชั้นอะตอม และเป็นการนำเทคโนโลยีซิลิกอนความเครียดประสิทธิภาพสูงมาใช้เป็นครั้งแรกของอุตสาหกรรม

จากลักษณะที่ระบุไว้ อาจมีเพียงแนวคิดของ "ซิลิคอนที่เครียด" เท่านั้นที่ต้องการความคิดเห็น (รูปที่ 13) ในซิลิคอนดังกล่าว ระยะห่างระหว่างอะตอมจะมากกว่าในเซมิคอนดักเตอร์ทั่วไป ในทางกลับกัน ช่วยให้กระแสน้ำไหลได้อย่างอิสระมากขึ้น คล้ายกับการที่การจราจรเคลื่อนตัวได้อย่างอิสระและรวดเร็วยิ่งขึ้นบนถนนที่มีช่องทางกว้างขึ้น

จากนวัตกรรมทั้งหมด ลักษณะการทำงานของทรานซิสเตอร์ได้รับการปรับปรุงขึ้น 10-20% ในขณะที่ต้นทุนการผลิตเพิ่มขึ้นเพียง 2%

นอกจากนี้ กระบวนการ 90 นาโนเมตรยังใช้เจ็ดชั้นบนชิป (รูปที่ 14) ซึ่งมากกว่ากระบวนการ 130 นาโนเมตรหนึ่งชั้น รวมถึงการเชื่อมต่อระหว่างกันด้วยทองแดง

คุณสมบัติทั้งหมดนี้ เมื่อรวมกับเวเฟอร์ซิลิคอนขนาด 300 มม. ทำให้ Intel ได้รับประโยชน์ในด้านประสิทธิภาพ ปริมาณการผลิต และต้นทุน ผู้บริโภคจะได้รับประโยชน์เช่นกัน เนื่องจากเทคโนโลยีกระบวนการใหม่ของ Intel ช่วยให้อุตสาหกรรมสามารถพัฒนาต่อไปได้ตามกฎของมัวร์ ซึ่งจะเพิ่มประสิทธิภาพของโปรเซสเซอร์ครั้งแล้วครั้งเล่า

ไมโครโปรเซสเซอร์สมัยใหม่เป็นหนึ่งในอุปกรณ์ที่ซับซ้อนที่สุดที่มนุษย์สร้างขึ้น การผลิตคริสตัลเซมิคอนดักเตอร์นั้นต้องใช้ทรัพยากรมากกว่าการก่อสร้างอาคารสูงหลายชั้นหรือการจัดงานนิทรรศการสำคัญๆ อย่างไรก็ตามด้วยการผลิต CPU จำนวนมากในแง่การเงิน เราไม่ได้สังเกตเห็นสิ่งนี้และแทบไม่มีใครคิดถึงความใหญ่โตขององค์ประกอบที่ครอบครองตำแหน่งที่โดดเด่นดังกล่าวภายในหน่วยระบบ เราตัดสินใจศึกษารายละเอียดการผลิตโปรเซสเซอร์และพูดคุยเกี่ยวกับพวกเขาในเอกสารนี้ โชคดีที่อินเทอร์เน็ตมีข้อมูลเพียงพอในหัวข้อนี้ในปัจจุบัน และการนำเสนอและสไลด์เฉพาะทางจาก Intel Corporation ช่วยให้คุณสามารถทำงานให้เสร็จได้อย่างชัดเจนที่สุด องค์กรของยักษ์ใหญ่ในอุตสาหกรรมเซมิคอนดักเตอร์อื่น ๆ ทำงานบนหลักการเดียวกัน ดังนั้นเราจึงสามารถพูดได้อย่างมั่นใจว่าวงจรไมโครสมัยใหม่ทั้งหมดมีเส้นทางการสร้างสรรค์ที่เหมือนกัน

สิ่งแรกที่ควรกล่าวถึงคือวัสดุก่อสร้างสำหรับโปรเซสเซอร์ ซิลิคอนเป็นองค์ประกอบที่พบมากเป็นอันดับสองของโลกรองจากออกซิเจน เป็นเซมิคอนดักเตอร์ธรรมชาติและใช้เป็นวัสดุหลักในการผลิตชิปของวงจรไมโครต่างๆ ซิลิคอนส่วนใหญ่พบได้ในทรายธรรมดา (โดยเฉพาะควอตซ์) ในรูปของซิลิคอนไดออกไซด์ (SiO2)

อย่างไรก็ตาม ซิลิคอนไม่ใช่เพียงวัสดุเดียว ญาติที่ใกล้ที่สุดและสารทดแทนคือเจอร์เมเนียม แต่ในกระบวนการปรับปรุงการผลิต นักวิทยาศาสตร์กำลังระบุคุณสมบัติของเซมิคอนดักเตอร์ที่ดีในสารประกอบขององค์ประกอบอื่นๆ และกำลังเตรียมที่จะทดสอบพวกมันในทางปฏิบัติหรือกำลังทำเช่นนั้นอยู่แล้ว

1 ซิลิคอนต้องผ่านกระบวนการทำให้บริสุทธิ์หลายขั้นตอน: วัตถุดิบสำหรับวงจรไมโครไม่สามารถมีสิ่งเจือปนเกินกว่าหนึ่งอะตอมแปลกปลอมต่อพันล้าน

2 ซิลิคอนถูกละลายในภาชนะพิเศษและเมื่อลดแกนหมุนที่เย็นลงอย่างต่อเนื่องภายในลง สารก็จะ "พัน" รอบๆ ด้วยแรงตึงผิว

3 ผลลัพธ์ที่ได้คือช่องว่างตามยาว (ผลึกเดี่ยว) ของหน้าตัดทรงกลม แต่ละชิ้นมีน้ำหนักประมาณ 100 กก.

4 ชิ้นงานถูกตัดเป็นแผ่นซิลิคอนแต่ละแผ่น - เวเฟอร์ซึ่งจะมีไมโครโปรเซสเซอร์หลายร้อยตัวอยู่ เพื่อวัตถุประสงค์เหล่านี้ จะใช้เครื่องจักรที่มีแผ่นตัดเพชรหรือการติดตั้งอุปกรณ์ขัดลวด

5 พื้นผิวได้รับการขัดเงาจนกลายเป็นกระจกเพื่อขจัดข้อบกพร่องบนพื้นผิวทั้งหมด ขั้นตอนต่อไปคือการใช้ชั้นโฟโตโพลีเมอร์ที่บางที่สุด

6 พื้นผิวที่ผ่านการบำบัดจะถูกรังสีอัลตราไวโอเลตที่รุนแรง ปฏิกิริยาทางเคมีเกิดขึ้นในชั้นโฟโตโพลีเมอร์: แสงที่ส่องผ่านสเตนซิลจำนวนมาก ทำให้เกิดรูปแบบของชั้น CPU ซ้ำ

7 ขนาดจริงของรูปภาพที่ใช้นั้นเล็กกว่าลายฉลุหลายเท่า

8 พื้นที่ที่ถูก “กัดเซาะ” จากรังสีจะถูกชะล้างออกไป จะได้ลวดลายบนพื้นผิวซิลิกอนซึ่งจะถูกเชื่อมติดกัน

9 ขั้นตอนต่อไปในการผลิตชั้นหนึ่งคือการไอออไนซ์ในระหว่างที่ซิลิคอนในพื้นที่ปลอดโพลีเมอร์ถูกถล่มด้วยไอออน

10 ในสถานที่ที่มีการชนคุณสมบัติของการนำไฟฟ้าจะเปลี่ยนไป

11 พอลิเมอร์ที่เหลือจะถูกเอาออกและทรานซิสเตอร์ก็เกือบจะเสร็จสมบูรณ์ รูถูกสร้างขึ้นในชั้นฉนวนซึ่งเต็มไปด้วยอะตอมทองแดงที่ใช้เป็นหน้าสัมผัสเนื่องจากปฏิกิริยาทางเคมี

12 การเชื่อมต่อของทรานซิสเตอร์เป็นแบบสายไฟหลายระดับ หากคุณมองผ่านกล้องจุลทรรศน์ คุณจะสังเกตเห็นตัวนำโลหะและอะตอมของซิลิคอนจำนวนมากวางอยู่ระหว่างคริสตัลหรือสารทดแทนสมัยใหม่

13 ส่วนหนึ่งของวัสดุพิมพ์ที่เสร็จแล้วจะผ่านการทดสอบการทำงานครั้งแรก ในขั้นตอนนี้ กระแสไฟฟ้าจะถูกส่งไปยังทรานซิสเตอร์แต่ละตัวที่เลือก และระบบอัตโนมัติจะตรวจสอบพารามิเตอร์การทำงานของเซมิคอนดักเตอร์

14 วัสดุพิมพ์ถูกตัดเป็นชิ้นส่วนแยกกันโดยใช้ล้อตัดที่บางที่สุด

15 คริสตัลที่ใช้งานได้ซึ่งได้รับจากการดำเนินการนี้จะถูกนำมาใช้ในการผลิตโปรเซสเซอร์และคริสตัลที่ชำรุดจะถูกส่งไปยังของเสีย

16 ชิปแยกต่างหากที่จะใช้สร้างโปรเซสเซอร์จะถูกวางไว้ระหว่างฐาน (สารตั้งต้น) ของ CPU และฝาครอบกระจายความร้อนและ "บรรจุ"

17 ในระหว่างการทดสอบขั้นสุดท้าย โปรเซสเซอร์ที่เสร็จแล้วจะได้รับการตรวจสอบว่าสอดคล้องกับพารามิเตอร์ที่ต้องการ จากนั้นจึงทำการจัดเรียงเท่านั้น ตามข้อมูลที่ได้รับ ไมโครโค้ดจะถูกแฟลชเข้าไป ทำให้ระบบสามารถระบุ CPU ได้อย่างเหมาะสม

18 อุปกรณ์ที่เสร็จแล้วจะถูกบรรจุและส่งไปยังตลาด

ข้อเท็จจริงที่น่าสนใจเกี่ยวกับโปรเซสเซอร์และการผลิต

"ซิลิคอนวัลเลย์" (ซิลิคอนวัลเลย์ สหรัฐอเมริกา แคลิฟอร์เนีย)

ได้ชื่อมาจากองค์ประกอบอาคารหลักที่ใช้ในการผลิตไมโครชิป

“เหตุใดเวเฟอร์โปรเซสเซอร์จึงมีทรงกลม”- คุณอาจจะถาม

ในการผลิตผลึกซิลิคอนนั้นใช้เทคโนโลยีที่ทำให้ได้เฉพาะช่องว่างทรงกระบอกเท่านั้นซึ่งจะถูกตัดเป็นชิ้น ๆ จนถึงขณะนี้ยังไม่มีใครสามารถผลิตแผ่นสี่เหลี่ยมที่ไม่มีข้อบกพร่องได้

ทำไมไมโครชิปถึงเป็นรูปสี่เหลี่ยม?

การพิมพ์หินประเภทนี้ช่วยให้สามารถใช้พื้นที่เวเฟอร์ได้อย่างมีประสิทธิภาพสูงสุด

เหตุใดโปรเซสเซอร์จึงต้องใช้พิน/พินจำนวนมาก?

นอกจากสายสัญญาณแล้ว โปรเซสเซอร์แต่ละตัวยังต้องการพลังงานที่เสถียรในการทำงาน ด้วยการใช้พลังงานประมาณ 100-120 W และแรงดันไฟฟ้าต่ำ กระแสสูงถึง 100 A สามารถไหลผ่านหน้าสัมผัส ส่วนสำคัญของหน้าสัมผัส CPU นั้นมีไว้สำหรับระบบจ่ายไฟโดยเฉพาะและทำซ้ำ

การกำจัดขยะอุตสาหกรรม

ก่อนหน้านี้ เวเฟอร์ที่มีข้อบกพร่อง ซากของพวกมัน และไมโครชิปที่มีข้อบกพร่องต้องสูญเปล่า ปัจจุบันมีการพัฒนาเพื่อใช้เป็นพื้นฐานในการผลิตเซลล์แสงอาทิตย์

"ชุดกระต่าย"

นี่คือชื่อที่ตั้งให้กับชุดเอี๊ยมสีขาวที่พนักงานทุกคนในโรงงานผลิตต้องสวมใส่ ทำเพื่อรักษาความสะอาดสูงสุดและป้องกันการเข้าไปในโรงงานผลิตโดยไม่ตั้งใจ "ชุดกระต่าย" ถูกใช้ครั้งแรกในโรงงานแปรรูปในปี 1973 และนับตั้งแต่นั้นมาก็กลายเป็นมาตรฐานที่ได้รับการยอมรับ

99,9999%

เฉพาะซิลิคอนที่มีความบริสุทธิ์สูงสุดเท่านั้นที่เหมาะสำหรับการผลิตโปรเซสเซอร์ ทำความสะอาดช่องว่างด้วยสารเคมีพิเศษ

300 มม

นี่คือเส้นผ่านศูนย์กลางของเวเฟอร์ซิลิคอนสมัยใหม่สำหรับการผลิตโปรเซสเซอร์

1,000 ครั้ง

นี่คือปริมาณอากาศในสถานที่ของโรงงานชิปที่สะอาดกว่าในห้องผ่าตัดมากน้อยเพียงใด

20 ชั้น

ชิปโปรเซสเซอร์มีความบางมาก (น้อยกว่าหนึ่งมิลลิเมตร) แต่มีโครงสร้างทรานซิสเตอร์ที่ซับซ้อนมากกว่า 20 ชั้นที่ดูเหมือนทางหลวงหลายระดับ

2500

นี่คือจำนวนชิปของโปรเซสเซอร์ Intel Atom (ซึ่งมีพื้นที่น้อยที่สุดในบรรดาซีพียูสมัยใหม่) ที่วางอยู่บนเวเฟอร์ขนาด 300 มม. หนึ่งอัน

10 000 000 000 000 000 000

ทรานซิสเตอร์หนึ่งร้อยล้านล้านซึ่งเป็นส่วนประกอบสำคัญของไมโครชิป ถูกจัดส่งจากโรงงานทุกปี ซึ่งมากกว่าจำนวนมดโดยประมาณบนโลกประมาณ 100 เท่า

ต้นทุนการผลิตทรานซิสเตอร์หนึ่งตัวในโปรเซสเซอร์ในปัจจุบันเท่ากับต้นทุนการพิมพ์ตัวอักษรหนึ่งตัวในหนังสือพิมพ์

ในกระบวนการจัดทำบทความนี้ มีการใช้สื่อจากเว็บไซต์อย่างเป็นทางการของ Intel Corporation www.intel.ua

โปรเซสเซอร์ Intel ผลิตที่ไหน


ตามที่ฉันเขียนไว้ในโพสต์ก่อนหน้านี้ ปัจจุบัน Intel มีโรงงาน 4 แห่งที่สามารถผลิตโปรเซสเซอร์จำนวนมากโดยใช้เทคโนโลยี 32 นาโนเมตร: D1D และ D1C ในโอเรกอน, Fab 32 ในแอริโซนาและ Fab 11X ในนิวเม็กซิโก
มาดูกันว่าพวกเขาทำงานอย่างไร

ความสูงของโรงงานผลิตตามกระบวนการของ Intel แต่ละแห่งคือ
หรือบนเวเฟอร์ซิลิคอนขนาด 300 มม. คือ 21
เมตรและพื้นที่ถึง 100,000 ตารางเมตร
คูน้ำ ในอาคารโรงงานมี 4 ระดับหลัก
วินยา:

ระดับระบบระบายอากาศ

ไมโครโปรเซสเซอร์ประกอบด้วยทรานซิสเตอร์หลายล้านตัว
- จุดฝุ่นที่เล็กที่สุดที่ไปเกาะซิลิกอน -
เวเฟอร์สามารถทำลายทรานซิสเตอร์ได้หลายพันตัว
คูน้ำ ดังนั้นเงื่อนไขที่สำคัญที่สุดสำหรับการผลิตไมโคร-
roprocessors คือความสะอาดปลอดเชื้อของห้อง
นิวยอร์ก ระดับระบบระบายอากาศอยู่ที่ด้านบน
บนพื้น - มีระบบพิเศษที่นี่
ซึ่งดำเนินการฟอกอากาศควบคุมได้ 100%
ควบคุมอุณหภูมิและความชื้นในพื้นที่การผลิต
สถานที่ ที่เรียกว่า “ห้องสะอาด”
แบ่งออกเป็นชั้นๆ (ขึ้นอยู่กับจำนวนอนุภาคฝุ่น)
ต่อหน่วยปริมาตร) และดีที่สุด (ชั้น 1) โดยประมาณ
สะอาดกว่าห้องผ่าตัดถึง 1,000 เท่า สำหรับ
เพื่อลดแรงสั่นสะเทือนจึงมีการจัดวางห้องสะอาด
บนรากฐานป้องกันการสั่นสะเทือนของตัวเอง

ระดับห้องสะอาด

พื้นครอบคลุมพื้นที่สนามฟุตบอลหลายสนาม
- นี่คือแหล่งผลิตไมโครโปรเซสเซอร์ สเป-
ระบบอัตโนมัติส่วนกลางดำเนินการ
การเคลื่อนย้ายแผ่นจากการผลิตครั้งเดียว
สถานีไปอีกแห่งหนึ่ง อากาศบริสุทธิ์ถูกส่งผ่าน
ระบบระบายอากาศที่อยู่บนเพดานและ
ถูกเทลงในรูพิเศษที่อยู่
ในพื้น.

นอกเหนือจากข้อกำหนดที่เพิ่มขึ้นสำหรับการปลอดเชื้อในสถานที่แล้ว
แน่นอนว่าคนทำงานที่นั่นก็ต้อง “สะอาด” ด้วย
sonal - ผู้เชี่ยวชาญเท่านั้นที่ทำงานในระดับนี้
ในชุดหมันที่ปกป้อง (ขอบคุณ
ระบบการกรองในตัวที่ใช้พลังงานจากแบตเตอรี่
ภาชนะบรรจุ) เวเฟอร์ซิลิคอนจากอนุภาคไมโครสิ่งทอ
ฝุ่นละออง เส้นผม และผิวหนัง

ระดับต่ำ

ออกแบบมาสำหรับระบบที่รองรับการทำงานของ fa-
อิฐ (ปั๊ม หม้อแปลงไฟฟ้า ตู้ไฟฟ้า ฯลฯ)
ท่อขนาดใหญ่ (ช่อง) ถ่ายทอดเทคโนโลยีต่างๆ
ก๊าซเคมี ของเหลว และอากาศเสีย ผู้เชี่ยวชาญ-
การแต่งกายของพนักงานระดับนี้ ได้แก่ หมวกกันน็อค
แว่นตานิรภัย ถุงมือ และรองเท้าพิเศษ

ระดับวิศวกรรมศาสตร์


ในการสร้างโรงงานระดับนี้ใช้เวลาประมาณ 3 ปีและประมาณ 5 พันล้าน - นี่คือจำนวนเงินที่โรงงานจะต้อง "เอาคืน" ในอีก 4 ปีข้างหน้า (เมื่อถึงเวลาที่กระบวนการทางเทคโนโลยีและสถาปัตยกรรมใหม่ปรากฏขึ้น ผลผลิตที่ต้องการ เพราะนี่คือเวเฟอร์ซิลิคอนที่ทำงานประมาณ 100 ชิ้นต่อชั่วโมง) ในการสร้างโรงงานคุณจะต้อง:
– เหล็กมากกว่า 19,000 ตัน
– คอนกรีตมากกว่า 112,000 ลูกบาศก์เมตร
– เคเบิลยาวกว่า 900 กิโลเมตร

วิธีการผลิตไมโครโปรเซสเซอร์


ในทางเทคนิคแล้ว ไมโครโปรเซสเซอร์สมัยใหม่ถูกสร้างขึ้นในรูปแบบของวงจรรวมขนาดใหญ่พิเศษวงจรเดียว ซึ่งประกอบด้วยองค์ประกอบหลายพันล้านองค์ประกอบ นี่เป็นหนึ่งในโครงสร้างที่ซับซ้อนที่สุดที่มนุษย์สร้างขึ้น องค์ประกอบสำคัญของไมโครโปรเซสเซอร์คือสวิตช์แบบแยก - ทรานซิสเตอร์ ด้วยการปิดกั้นและส่งผ่านกระแสไฟฟ้า (เปิด-ปิด) จะทำให้วงจรลอจิกของคอมพิวเตอร์ทำงานในสองสถานะ กล่าวคือ ในระบบไบนารี่ ขนาดของทรานซิสเตอร์วัดเป็นนาโนเมตร หนึ่งนาโนเมตร (nm) เท่ากับหนึ่งในพันล้านของเมตร

โดยสรุป กระบวนการผลิตโปรเซสเซอร์มีลักษณะดังนี้: ผลึกเดี่ยวทรงกระบอกถูกปลูกจากซิลิคอนหลอมเหลวโดยใช้อุปกรณ์พิเศษ ลิ่มที่ได้จะถูกทำให้เย็นลงและหั่นเป็น “แพนเค้ก” ซึ่งพื้นผิวจะถูกปรับระดับอย่างระมัดระวังและขัดให้เงาเหมือนกระจก จากนั้น ใน "ห้องปลอดเชื้อ" ของโรงงานเซมิคอนดักเตอร์ วงจรรวมจะถูกสร้างขึ้นบนแผ่นเวเฟอร์ซิลิคอนโดยใช้การพิมพ์หินด้วยแสงและการแกะสลัก หลังจากทำความสะอาดเวเฟอร์อีกครั้ง ผู้เชี่ยวชาญในห้องปฏิบัติการจะทำการทดสอบโปรเซสเซอร์แบบเลือกสรรภายใต้กล้องจุลทรรศน์ หากทุกอย่าง "ตกลง" เวเฟอร์ที่เสร็จแล้วจะถูกตัดเป็นโปรเซสเซอร์แต่ละตัว ซึ่งต่อมาจะถูกปิดไว้ในตัวเครื่อง

มาดูรายละเอียดกระบวนการทั้งหมดกันดีกว่า

ขั้นแรก SiO2 จะถูกนำไปใช้ในรูปของทราย ซึ่งจะถูกรีดิวซ์ด้วยโค้กในเตาอาร์ค (ที่อุณหภูมิประมาณ 1,800°C):
SiO2 + 2C = Si + 2CO

ซิลิคอนดังกล่าวเรียกว่า “ทางเทคนิค” และมีความบริสุทธิ์ 98-99.9% ตัวประมวลผลการผลิตต้องใช้วัตถุดิบที่บริสุทธิ์กว่ามากเรียกว่า "ซิลิคอนอิเล็กทรอนิกส์" ซึ่งควรมีอะตอมแปลกปลอมไม่เกิน 1 อะตอมต่อพันล้านอะตอมของซิลิคอน เพื่อชำระให้บริสุทธิ์ถึงระดับนี้ ซิลิคอนจึง "เกิดใหม่อีกครั้ง" อย่างแท้จริง โดยการคลอรีนซิลิคอนทางเทคนิคจะได้ซิลิคอนเตตระคลอไรด์ (SiCl4) ซึ่งต่อมาถูกแปลงเป็นไตรคลอโรซิเลน (SiHCl3):
3SiCl4 + 2H2 + ศรี ↔ 4SiHCl3

ปฏิกิริยาเหล่านี้โดยใช้การรีไซเคิลผลพลอยได้จากผลิตภัณฑ์ที่มีซิลิคอน ช่วยลดต้นทุนและขจัดปัญหาสิ่งแวดล้อม:
2SiHCl3 ↔ SiH2Cl2 + SiCl4
2SiH2Cl2 ↔ SiH3Cl + SiHCl3
2SiH3Cl ↔ SiH4 + SiH2Cl2
SiH4 ↔ ศรี + 2H2

ไฮโดรเจนที่เกิดขึ้นสามารถนำมาใช้ในหลายสถานที่ แต่สิ่งที่สำคัญที่สุดคือได้ซิลิคอน "อิเล็กทรอนิกส์" บริสุทธิ์และบริสุทธิ์มาก (99.9999999%) หลังจากนั้นไม่นานเมล็ด ("จุดเติบโต") จะลดลงในการละลายของซิลิคอนซึ่งค่อย ๆ ดึงออกจากเบ้าหลอม เป็นผลให้เกิดสิ่งที่เรียกว่า "ลูกเปตอง" ซึ่งเป็นผลึกเดี่ยวที่สูงเท่ากับผู้ใหญ่ น้ำหนักมีความเหมาะสม - ในการผลิตลูกเปตองมีน้ำหนักประมาณ 100 กิโลกรัม

แท่งโลหะถูกขัดด้วย "ศูนย์" :) แล้วตัดด้วยเลื่อยเพชร เอาต์พุตเป็นเวเฟอร์ (ชื่อรหัสว่า “เวเฟอร์”) มีความหนาประมาณ 1 มม. และมีเส้นผ่านศูนย์กลาง 300 มม. (~12 นิ้ว ซึ่งเป็นแบบที่ใช้สำหรับกระบวนการ 32 นาโนเมตรด้วยเทคโนโลยี HKMG ซึ่งเป็นเทคโนโลยี High-K/Metal Gate)

ตอนนี้สิ่งที่น่าสนใจที่สุดคือจำเป็นต้องถ่ายโอนโครงสร้างของโปรเซสเซอร์ในอนาคตไปเป็นเวเฟอร์ซิลิคอนขัดเงานั่นคือเพื่อนำสิ่งเจือปนเข้าไปในบางพื้นที่ของเวเฟอร์ซิลิคอนซึ่งท้ายที่สุดจะก่อตัวเป็นทรานซิสเตอร์ ทำอย่างไร?

ปัญหาได้รับการแก้ไขโดยใช้เทคโนโลยีการถ่ายภาพด้วยแสง - กระบวนการเลือกการแกะสลักของชั้นพื้นผิวโดยใช้โฟโตมาสก์ป้องกัน เทคโนโลยีนี้สร้างขึ้นบนหลักการ "เทมเพลตแสง-โฟโตรีซิสต์" และดำเนินการดังนี้:
— ชั้นของวัสดุถูกนำไปใช้กับสารตั้งต้นซิลิกอนซึ่งจะสร้างลวดลาย มีการใช้โฟโตรีซิสต์ซึ่งเป็นชั้นของวัสดุโพลีเมอร์ที่ไวต่อแสงซึ่งจะเปลี่ยนคุณสมบัติทางกายภาพและเคมีเมื่อถูกฉายรังสีด้วยแสง
— การเปิดรับแสงจะดำเนินการ (การส่องสว่างของเลเยอร์ภาพถ่ายตามระยะเวลาที่กำหนดอย่างแม่นยำ) ผ่านโฟโตมาสก์
— การกำจัดโฟโตรีซิสต์ที่ใช้แล้ว
โครงสร้างที่ต้องการถูกวาดบนโฟโตมาสค์ - ตามกฎแล้วนี่คือแผ่นแก้วแสงที่ใช้พื้นที่ทึบแสงในการถ่ายภาพ แต่ละเทมเพลตดังกล่าวมีหนึ่งในเลเยอร์ของโปรเซสเซอร์ในอนาคต ดังนั้นจึงต้องมีความแม่นยำและใช้งานได้จริง

เวเฟอร์ถูกฉายรังสีโดยกระแสไอออน (อะตอมที่มีประจุบวกหรือลบ) ซึ่งในสถานที่ที่กำหนดจะทะลุผ่านใต้พื้นผิวของเวเฟอร์และเปลี่ยนคุณสมบัติการนำไฟฟ้าของซิลิคอน (พื้นที่สีเขียวฝังอะตอมแปลกปลอม)

ในการถ่ายภาพ แสงจะส่องผ่านฟิล์มเนกาทีฟ กระทบกับพื้นผิวของกระดาษภาพถ่าย และเปลี่ยนคุณสมบัติทางเคมีของมัน ในการพิมพ์หินด้วยแสง หลักการจะคล้ายกัน: แสงจะถูกส่งผ่านโฟโตมาสก์ไปยังโฟโตรีซิสต์ และในตำแหน่งที่แสงผ่านมาส์ก แต่ละส่วนของโฟโตรีซิสต์จะเปลี่ยนแปลงคุณสมบัติ รังสีแสงจะถูกส่งผ่านมาส์กซึ่งเน้นไปที่สารตั้งต้น เพื่อการโฟกัสที่แม่นยำ จำเป็นต้องมีระบบเลนส์หรือกระจกพิเศษ ซึ่งไม่เพียงแต่สามารถลดภาพที่ถูกตัดบนมาสก์ให้เหลือขนาดของชิปเท่านั้น แต่ยังฉายภาพบนชิ้นงานได้อย่างแม่นยำอีกด้วย โดยทั่วไปแล้วแผ่นเวเฟอร์ที่พิมพ์ออกมาจะมีขนาดเล็กกว่ามาส์กถึงสี่เท่า

โฟโตรีซิสต์ที่ใช้ไปทั้งหมด (ซึ่งเปลี่ยนความสามารถในการละลายภายใต้อิทธิพลของการฉายรังสี) จะถูกลบออกด้วยสารละลายเคมีพิเศษ - พร้อมด้วยส่วนหนึ่งของสารตั้งต้นภายใต้โฟโตรีซิสต์ที่ส่องสว่างก็ละลายไปด้วย ส่วนของพื้นผิวที่ได้รับการปกป้องจากแสงด้วยมาส์กจะไม่ละลาย มันเป็นตัวนำหรือองค์ประกอบที่ใช้งานในอนาคต - ผลลัพธ์ของวิธีการนี้คือรูปแบบวงจรที่แตกต่างกันในแต่ละชั้นของไมโครโปรเซสเซอร์

ตามความเป็นจริง ขั้นตอนก่อนหน้านี้ทั้งหมดมีความจำเป็นเพื่อสร้างโครงสร้างเซมิคอนดักเตอร์ในตำแหน่งที่ต้องการโดยการแนะนำสิ่งเจือปนจากผู้บริจาค (ประเภท n) หรือตัวรับ (ประเภท p) สมมติว่าเราจำเป็นต้องสร้างบริเวณที่มีความเข้มข้นของตัวพาชนิด p ในซิลิคอน ซึ่งก็คือโซนการนำกระแสรู ในการดำเนินการนี้ แผ่นเวเฟอร์จะถูกประมวลผลโดยใช้อุปกรณ์ที่เรียกว่าเครื่องปลูกถ่าย โดยไอออนของโบรอนที่มีพลังงานจำนวนมหาศาลจะถูกยิงจากเครื่องเร่งไฟฟ้าแรงสูง และกระจายอย่างเท่าเทียมกันในโซนที่ไม่มีการป้องกันซึ่งเกิดขึ้นระหว่างการพิมพ์หินด้วยแสง

เมื่อกำจัดอิเล็กทริกออกแล้ว ไอออนจะทะลุเข้าไปในชั้นของซิลิคอนที่ไม่มีการป้องกัน ไม่เช่นนั้นพวกมันจะ "ติดอยู่" ในอิเล็กทริก หลังจากกระบวนการกัดครั้งต่อไป อิเล็กทริกที่เหลือจะถูกเอาออก และโซนจะยังคงอยู่บนแผ่นซึ่งมีโบรอนเฉพาะที่ เป็นที่ชัดเจนว่าโปรเซสเซอร์สมัยใหม่อาจมีเลเยอร์ดังกล่าวหลายชั้น - ในกรณีนี้ชั้นอิเล็กทริกจะโตขึ้นอีกครั้งบนภาพที่ได้ จากนั้นทุกอย่างจะเป็นไปตามเส้นทางที่ถูกเหยียบย่ำ - อีกชั้นหนึ่งของโฟโตรีซิสต์ กระบวนการถ่ายภาพหิน (โดยใช้มาสก์ใหม่) , การแกะสลัก, การฝัง...

องค์ประกอบลอจิกที่เกิดขึ้นในระหว่างกระบวนการถ่ายภาพหินจะต้องเชื่อมต่อถึงกัน ในการทำเช่นนี้แผ่นจะถูกวางไว้ในสารละลายของคอปเปอร์ซัลเฟตซึ่งภายใต้อิทธิพลของกระแสไฟฟ้าอะตอมของโลหะจะ "ตกตะกอน" ใน "ทาง" ที่เหลือ - อันเป็นผลมาจากกระบวนการกัลวานิกนี้ทำให้เกิดพื้นที่นำไฟฟ้าเกิดขึ้น สร้างการเชื่อมต่อระหว่างแต่ละส่วนของ "ตรรกะ" ของโปรเซสเซอร์ การเคลือบที่เป็นสื่อกระแสไฟฟ้าส่วนเกินจะถูกลบออกโดยการขัด

ไชโย - ส่วนที่ยากที่สุดจบลงแล้ว สิ่งที่เหลืออยู่คือวิธีที่ชาญฉลาดในการเชื่อมต่อ "ซาก" ของทรานซิสเตอร์ - หลักการและลำดับของการเชื่อมต่อ (บัส) ทั้งหมดเหล่านี้เรียกว่าสถาปัตยกรรมโปรเซสเซอร์ การเชื่อมต่อเหล่านี้จะแตกต่างกันไปสำหรับโปรเซสเซอร์แต่ละตัว - แม้ว่าวงจรจะดูแบนราบทั้งหมด แต่ในบางกรณี สามารถใช้ "สายไฟ" ดังกล่าวได้ถึง 30 ระดับ

เมื่อการประมวลผลเวเฟอร์เสร็จสิ้น เวเฟอร์จะถูกโอนจากการผลิตไปยังร้านประกอบและทดสอบ ที่นั่นคริสตัลจะผ่านการทดสอบครั้งแรก และคริสตัลที่ผ่านการทดสอบ (และนี่คือส่วนใหญ่) จะถูกตัดออกจากวัสดุพิมพ์ด้วยอุปกรณ์พิเศษ

ในขั้นตอนต่อไป โปรเซสเซอร์จะถูกบรรจุลงในวัสดุพิมพ์ (ในภาพ - โปรเซสเซอร์ Intel Core i5 ซึ่งประกอบด้วย CPU และชิปกราฟิก HD)

วัสดุพิมพ์ คริสตัล และฝาครอบกระจายความร้อนเชื่อมต่อเข้าด้วยกัน - นี่คือผลิตภัณฑ์ที่เราจะหมายถึงเมื่อเราพูดคำว่า "โปรเซสเซอร์" วัสดุพิมพ์สีเขียวสร้างอินเทอร์เฟซทางไฟฟ้าและเครื่องกล (ทองคำใช้เพื่อเชื่อมต่อชิปซิลิคอนเข้ากับเคสด้วยไฟฟ้า) ซึ่งทำให้สามารถติดตั้งโปรเซสเซอร์ลงในซ็อกเก็ตเมนบอร์ดได้ - อันที่จริงนี่เป็นเพียงแพลตฟอร์มที่ผู้ติดต่อจาก ชิปขนาดเล็กจะถูกส่งไป ฝาครอบกระจายความร้อนเป็นส่วนต่อประสานการระบายความร้อนที่ทำให้โปรเซสเซอร์เย็นลงระหว่างการทำงาน - สำหรับฝาครอบนี้จะต้องติดตั้งระบบระบายความร้อน ไม่ว่าจะเป็นหม้อน้ำทำความเย็นหรือบล็อกน้ำที่แข็งแรง

ทีนี้ลองจินตนาการว่าบริษัทแห่งหนึ่งประกาศเปิดตัวโปรเซสเซอร์ใหม่ 20 ตัว ล้วนแตกต่างกัน - จำนวนคอร์ ขนาดแคช เทคโนโลยีที่รองรับ... โปรเซสเซอร์แต่ละรุ่นใช้ทรานซิสเตอร์จำนวนหนึ่ง (นับเป็นล้านหรือหลายพันล้าน) หลักการเชื่อมต่อองค์ประกอบของตัวเอง... และทั้งหมดนี้ต้องเป็น ออกแบบและสร้าง/อัตโนมัติ - เทมเพลต เลนส์ การพิมพ์หิน พารามิเตอร์หลายร้อยรายการสำหรับแต่ละกระบวนการ การทดสอบ... และทั้งหมดนี้ควรจะทำงานได้ตลอด 24 ชั่วโมงในโรงงานหลายแห่งพร้อมกัน... ด้วยเหตุนี้ อุปกรณ์ควรปรากฏว่าไม่มี ห้องสำหรับข้อผิดพลาดในการดำเนินงาน... และต้นทุนของผลงานชิ้นเอกทางเทคโนโลยีเหล่านี้ควรอยู่ในขอบเขตของความเหมาะสม...

ไมโครโปรเซสเซอร์เกิดขึ้นได้อย่างไร?

คุณเคยอยู่ในใจกลางของอุตสาหกรรมเซมิคอนดักเตอร์ - โรงงานชิปหรือไม่? โครงสร้างแต่ละอย่างเป็นการสร้างสรรค์ที่สามารถสร้างความประทับใจให้กับทุกคน แม้แต่คนที่ไม่ได้ฝึกหัดในกระบวนการผลิตก็ตาม

ผู้ที่ไปเยี่ยมชมที่นั่นมีความรู้สึกว่าพวกเขากำลังเดินทางอันแสนวิเศษไปสู่จอมปลวกแห่งอนาคตของหุ่นยนต์หรือภายในไมโครวงจรเอง ที่นั่น ในห้องปลอดเชื้อขนาดเท่าสนามฟุตบอลสามสนาม หุ่นยนต์และผู้เชี่ยวชาญหลายสิบคนสวมชุดอวกาศและหมวกกันน็อคก็รีบวิ่งไปรอบๆ และเครื่องจักรที่มีความแม่นยำสูงสำหรับการผลิตไมโครชิป “ลอย” บนแท่นพิเศษ ส่องสว่างด้วยแสงสีเหลืองส้ม...

ขั้นตอนของการผลิตชิปและการพิมพ์หินด้วยแสง

วงจรรวมถูกสร้างขึ้นบนพื้นผิวของซิลิคอนโมโนคริสตัลไลน์ (ซิลิคอน (Si) ถูกนำมาใช้เนื่องจากเป็นเซมิคอนดักเตอร์ที่เหมาะสมที่สุดสำหรับวัตถุประสงค์เหล่านี้ ในทางกลับกัน เซมิคอนดักเตอร์เป็นวัสดุประเภทหนึ่งที่มีค่าการนำไฟฟ้าอยู่ระหว่างค่าการนำไฟฟ้าของตัวนำ (ส่วนใหญ่เป็นโลหะ ) และฉนวน (ไดอิเล็กทริก) ซิลิคอนยังสามารถทำหน้าที่เป็นทั้งอิเล็กทริกและตัวนำ - ขึ้นอยู่กับปริมาณและประเภทของสิ่งเจือปนขององค์ประกอบทางเคมีอื่น ๆ ที่มีอยู่ในนั้น และคุณสมบัตินี้ใช้กันอย่างแพร่หลายในการผลิตไมโครวงจร กรณีที่หายาก แทนที่จะใช้ซิลิกอน โดยเฉพาะอย่างยิ่ง Intel สามารถนำทรานซิสเตอร์แบบเฮเทอโรจังก์ชัน (HBT) บนซิลิคอน-เจอร์เมเนียม (SiGe) เข้าสู่เทคโนโลยีการผลิต 90 นาโนเมตรโดยการสร้างเลเยอร์ต่างๆ ตามลำดับบนแผ่นบาง ( น้อยกว่าหนึ่งมิลลิเมตร) กลม (มีเส้นผ่านศูนย์กลางไม่เกิน 30 ซม.) เวเฟอร์ซิลิกอนที่เรียกว่าสารตั้งต้น [เวเฟอร์บางถูกตัดจากกระสุนทรงกระบอกยาวหนักของซิลิคอนผลึกเดี่ยวซึ่งปลูกโดยใช้วิธีความแม่นยำพิเศษ จากนั้นจานจะถูกขัดให้เงาเหมือนกระจกโดยใช้วิธีทางกลและเคมี พื้นผิว "การทำงาน" (นั่นคือพื้นผิวที่ไมโครเซอร์กิตถูกสร้างขึ้นเพิ่มเติม) ของแผ่นจะต้องเรียบและสมบูรณ์แบบในระดับอะตอมและมีการวางแนวผลึกศาสตร์ที่แม่นยำมาก (คล้ายกับแง่มุมต่าง ๆ ของเพชรเมื่อทำการตัด แต่สมบูรณ์แบบยิ่งกว่านั้นอีก)] ชั้นต่างๆ เกิดขึ้นจากกระบวนการต่างๆ โดยใช้สารเคมี ก๊าซ และแสง การผลิตไมโครโปรเซสเซอร์สมัยใหม่เป็นกระบวนการที่ซับซ้อนซึ่งประกอบด้วยขั้นตอนมากกว่าสามร้อยขั้นตอน - มากกว่ายี่สิบชั้นเชื่อมต่อกันอย่าง "ประณีต" เพื่อสร้างวงจรไมโครโปรเซสเซอร์ที่มีโครงสร้างสามมิติ จำนวนชั้นที่แน่นอนบนพื้นผิว (เวเฟอร์) ขึ้นอยู่กับโครงการออกแบบของโปรเซสเซอร์เฉพาะ ไมโครโปรเซสเซอร์ที่เหมือนกันหลายร้อยตัวถูกสร้างขึ้นบนพื้นผิวซิลิกอนเดี่ยว และในขั้นตอนสุดท้ายจะถูกตัดเป็นชิปคริสตัลสี่เหลี่ยมแต่ละอัน

กระบวนการสร้างชั้นและรูปแบบต่างๆ ขององค์ประกอบไมโครเซอร์กิตบนพื้นผิวนั้นค่อนข้างซับซ้อน (อันที่จริงนี่คือสาขาวิทยาศาสตร์ทั้งหมด) แต่มีพื้นฐานอยู่บนแนวคิดง่ายๆ เพียงหนึ่งเดียว: เนื่องจากขนาดลักษณะของรูปแบบที่สร้างขึ้นนั้นเล็กมาก (ตัวอย่างเช่น เซลล์หน่วยความจำแคชของโปรเซสเซอร์บนคอร์เพรสคอตต์ 90 นาโนเมตร เล็กกว่าเซลล์เม็ดเลือดแดง (เม็ดเลือดแดง) ถึงหนึ่งร้อยเท่า และหนึ่งในทรานซิสเตอร์ของมันคือขนาดของไวรัสไข้หวัดใหญ่) ซึ่งทำให้เป็นไปไม่ได้เลยที่จะ ฝากวัสดุบางอย่างไว้ในที่ที่ถูกต้องซึ่งทำได้ง่ายกว่า - วัสดุจะถูกวางลงบนพื้นผิวทั้งหมดของวัสดุพิมพ์ทันทีจากนั้นจึงนำออกจากสถานที่ที่ไม่จำเป็นอย่างระมัดระวัง ซึ่งสามารถทำได้โดยผ่านกระบวนการถ่ายภาพหิน

ชม “ห้องสะอาด” คืออะไร และเหตุใดจึงถูกนำมาใช้ในโรงงานเซมิคอนดักเตอร์

ชิปชิปจะต้องผลิตในสภาพอากาศที่มีการควบคุมและสะอาดมาก เนื่องจากองค์ประกอบการทำงาน (ทรานซิสเตอร์ ตัวนำ) บนไมโครชิปมีขนาดเล็กมาก อนุภาคแปลกปลอม (ฝุ่น ควัน หรือสะเก็ดผิวหนัง) ที่เกาะอยู่บนแผ่นเวเฟอร์ที่มีวงจรไมโครในอนาคตในขั้นตอนกลางของการผลิตอาจทำให้คริสตัลทั้งหมดเสียหายได้ ห้องสะอาดจำแนกตามขนาดและจำนวนอนุภาคขนาดเล็กที่มีอยู่ต่อหน่วยปริมาตร (ลูกบาศก์ฟุต ประมาณหนึ่งในสามสิบของลูกบาศก์เมตร) ของอากาศ ตัวอย่างเช่น ห้องคลาส 1 ที่ใช้ในการผลิตสมัยใหม่จะสะอาดกว่าห้องผ่าตัดประมาณพันเท่า ห้องคลีนรูมควบคุมความบริสุทธิ์ของอากาศโดยการกรองอากาศที่เข้ามา ขจัดสิ่งสกปรกออกจากการติดตั้ง เคลื่อนย้ายอากาศแบบลามิเนตจากเพดานถึงพื้น (ภายในประมาณหกวินาที) และการปรับความชื้นและอุณหภูมิ ผู้คนใน “ห้องสะอาด” สวมชุดอวกาศพิเศษที่คลุมผมทั้งหมด (และในบางกรณี แม้แต่ระบบหายใจของตนเอง) เพื่อขจัดการสั่นสะเทือน ห้องคลีนรูมจึงตั้งอยู่บนฐานป้องกันการสั่นสะเทือนในตัว

การพิมพ์หินด้วยแสงเป็นพื้นฐานที่ไม่สั่นคลอนของการผลิตไมโครวงจร และในอนาคตอันใกล้นี้ไม่น่าจะทดแทนได้อย่างคุ้มค่า ดังนั้นจึงควรพิจารณารายละเอียดเพิ่มเติม ตัวอย่างเช่น เราจำเป็นต้องสร้างลวดลายในชั้นของวัสดุบางชนิด เช่น ซิลิคอนไดออกไซด์หรือโลหะ (ซึ่งเป็นขั้นตอนที่พบบ่อยที่สุดในการผลิตสมัยใหม่) ก่อนอื่นชั้นของวัสดุที่ต้องการจะถูกสร้างขึ้นบนพื้นผิวที่บาง (โดยปกติจะบางกว่าหนึ่งไมครอน) และต่อเนื่องโดยไม่มีข้อบกพร่องไม่ทางใดก็ทางหนึ่ง ถัดไปจะทำการถ่ายภาพด้วยแสง ในการดำเนินการนี้ ขั้นแรกให้เคลือบวัสดุไวแสงบาง ๆ ที่เรียกว่าโฟโตรีซิสต์บนพื้นผิวของเวเฟอร์ (โฟโตรีซิสต์จะถูกใช้จากเฟสของเหลว โดยกระจายอย่างสม่ำเสมอบนพื้นผิวของเวเฟอร์โดยการหมุนด้วยเครื่องหมุนเหวี่ยงและทำให้แห้งจนแข็งตัว) จากนั้น แผ่นเวเฟอร์ที่มีโฟโตรีซิสต์จะถูกวางในการติดตั้งที่มีความแม่นยำ โดยที่พื้นที่ที่ต้องการของพื้นผิวจะถูกฉายรังสีด้วยแสงอัลตราไวโอเลตผ่านรูโปร่งใสในโฟโตมาสก์ (หรือที่เรียกว่าโฟโตมาสก์) หน้ากากมีรูปแบบที่สอดคล้องกัน (ใช้กับพื้นผิวของแผ่นเวเฟอร์) ซึ่งพัฒนาขึ้นสำหรับแต่ละชั้นในระหว่างกระบวนการออกแบบชิป ภายใต้อิทธิพลของรังสีอัลตราไวโอเลต พื้นที่ที่ได้รับรังสีของตัวรับแสงจะเปลี่ยนคุณสมบัติของมันเพื่อให้สามารถกำจัดออกได้โดยใช้สารเคมีบางชนิด (มีตัวรับแสงทั้งแบบลบและแบบบวก ตัวหนึ่ง "จะแข็งแกร่งขึ้น" เมื่อถูกฉายรังสี ดังนั้นพื้นที่ที่ไม่มีการฉายรังสีจึง ลบออกในขณะที่อีกฝ่ายสูญเสียความต้านทานต่อสารเคมีดังนั้นพื้นที่ฉายรังสีจึงถูกลบออก ดังนั้นจึงแยกแยะความแตกต่างระหว่างการถ่ายภาพด้วยแสงเชิงบวกและเชิงลบ) หลังจากถอดโฟโตรีซิสต์ออกแล้ว เฉพาะพื้นที่ของพื้นผิวเวเฟอร์เท่านั้นที่ยังคงเปิดอยู่ ซึ่งจำเป็นต้องดำเนินการตามที่ต้องการ เช่น ถอดชั้นของอิเล็กทริกหรือโลหะออก พวกมันจะถูกลบออกได้สำเร็จ (ขั้นตอนนี้เรียกว่าการแกะสลัก - เคมีหรือพลาสมาเคมี) หลังจากนั้นในที่สุดจึงสามารถกำจัดซากของโฟโตรีซิสต์ออกจากพื้นผิวของเวเฟอร์ได้เผยให้เห็นรูปแบบที่เกิดขึ้นในชั้นของวัสดุที่ต้องการเพื่อดำเนินการต่อไป . การถ่ายภาพหินเสร็จสมบูรณ์

ในการผลิตไมโครโปรเซสเซอร์สมัยใหม่ จำเป็นต้องดำเนินการถ่ายภาพด้วยแสงมากถึง 20–25 ครั้งในแต่ละครั้งบนเลเยอร์ใหม่ รวมๆแล้วใช้เวลาหลายสัปดาห์! ในบางกรณี สิ่งเหล่านี้คือชั้นของวัสดุฉนวนที่ทำหน้าที่เป็นไดอิเล็กตริกเกตของทรานซิสเตอร์หรือชั้นฉนวน (ฉนวน) ระหว่างทรานซิสเตอร์และตัวนำ ในส่วนอื่น ๆ นี่คือการก่อตัวของการนำประตูโพลีซิลิคอนของทรานซิสเตอร์และตัวนำโลหะที่เชื่อมต่อทรานซิสเตอร์ (เพื่อความเรียบง่ายบางครั้งการดำเนินการบางอย่างจะรวมกัน - ตัวอย่างเช่นสิ่งที่เรียกว่าประตูปรับแนวได้เองนั้นทำบนพื้นฐานของ การพิมพ์หินด้วยแสงแบบเดียวกันโดยการสร้างรูปแบบของเกตอิเล็กทริกและเกตโพลีซิลิคอนแบบบางไปพร้อมๆ กัน) ประการที่สาม นี่คือการก่อตัวของบริเวณที่มีสารเจือแบบเลือกสรร (ส่วนใหญ่เป็นท่อระบายน้ำและแหล่งที่มาของทรานซิสเตอร์) และการเติมพื้นที่ของพื้นผิวของเวเฟอร์ซิลิคอนผลึกเดี่ยวที่มีอะตอมแตกตัวเป็นไอออนขององค์ประกอบทางเคมีต่างๆ (เพื่อสร้าง n- หรือ p- ภูมิภาคเซมิคอนดักเตอร์ประเภทในซิลิคอน) ไม่ได้ทำผ่านหน้าต่างในโฟโตรีซิสต์ (มันไม่เสถียรเกินไปสำหรับสิ่งนี้) และผ่านรูปแบบในชั้นอิเล็กทริกที่ใช้ที่มีความหนาเพียงพอ (ตัวอย่างเช่น ซิลิคอนออกไซด์เดียวกัน) หลังจากนั้นอิเล็กทริกจะถูกลบออกพร้อมกับโฟโตรีซิสต์

บางครั้งมีการใช้วิธีที่น่าสนใจ เช่น การพิมพ์หินด้วยการระเบิด นั่นคือ ขั้นแรกจะมีรูปแบบเกิดขึ้น (หน้าต่างจะถูกแกะสลักเข้าไปในชั้นโฟโตรีซิสต์หรือชั้นไดอิเล็กทริกชั่วคราว) จากนั้นจึงมีการใช้ชั้นวัสดุใหม่อย่างต่อเนื่อง (เช่น โลหะ) บนพื้นผิวของเวเฟอร์ และสุดท้ายก็วางเวเฟอร์เข้าไป รีเอเจนต์ที่จะกำจัดส่วนที่เหลือของโฟโตรีซิสต์หรืออิเล็กทริกชั่วคราว เป็นผลให้เลเยอร์ที่ถูกลบออกดูเหมือนจะ "ระเบิด" จากด้านในโดยเอาชิ้นส่วนของโลหะที่ใช้ครั้งสุดท้ายที่วางอยู่บนมันออกไปและในบริเวณที่ "เปิด" ก่อนหน้านี้ (หน้าต่าง) โลหะยังคงอยู่และสร้างรูปแบบการทำงาน เราต้องการ (ตัวนำหรือประตู) และนี่เป็นเพียงส่วนเล็กของภูเขาน้ำแข็งที่เรียกว่าเทคโนโลยีไมโครอิเล็กทรอนิกส์ ซึ่งมีพื้นฐานอยู่บนหลักการของการพิมพ์หินด้วยแสง

ด้วยวิธีนี้ โครงสร้างสามมิติที่ซับซ้อนที่มีความหนาหลายไมครอนจะถูกสร้างขึ้นบนพื้นผิวของแผ่นเวเฟอร์ซิลิคอน ซึ่งจริงๆ แล้วเป็นวงจรอิเล็กทรอนิกส์ ด้านบนวงจรถูกปกคลุมด้วยชั้นอิเล็กทริกแบบพาสซีฟติ้งหนา (ไมครอน) เพื่อปกป้องโครงสร้างบางจากอิทธิพลภายนอก โดยจะเปิดเฉพาะหน้าต่างสำหรับแผ่นสัมผัสโลหะสี่เหลี่ยมขนาดใหญ่หลายสิบไมครอน ซึ่งแรงดันไฟฟ้าและสัญญาณไฟฟ้าจะถูกส่งไปยังวงจรจากภายนอก และจากด้านล่าง พื้นฐานทางกลของไมโครเซอร์กิตคือแผ่นเวเฟอร์ซิลิคอนที่มีความหนาหลายร้อยไมครอน ตามทฤษฎีแล้ว วงจรดังกล่าวสามารถทำให้บางมากได้ (10–30 ไมครอน) และหากต้องการ ก็สามารถ "รีดเป็นท่อ" ได้โดยไม่สูญเสียฟังก์ชันการทำงาน และงานที่คล้ายกันนี้ได้ดำเนินการมาระยะหนึ่งแล้วในบางทิศทาง แม้ว่าคริสตัลไมโครวงจร (ชิป) แบบดั้งเดิมจะยังคง "ไม่โค้งงอ"

หลังจากเสร็จสิ้นขั้นตอนทางเทคโนโลยีแล้ว คริสตัลแต่ละชิ้นบนแผ่นเวเฟอร์จะถูกทดสอบ (มีข้อมูลเพิ่มเติมเกี่ยวกับเรื่องนี้ในบทความถัดไป) จากนั้นแผ่นเวเฟอร์จะถูกตัดเป็นคริสตัลแต่ละชิ้น (ชิปสี่เหลี่ยม) โดยใช้เลื่อยเพชร (ก่อนที่จะตัดเป็นคริสตัล ความหนา ของเวเฟอร์ในไมโครโปรเซสเซอร์สมัยใหม่จะลดลงประมาณหนึ่งในสามเมื่อใช้การขัดแบบเชิงกล ซึ่งจะช่วยให้สามารถวางลงในบรรจุภัณฑ์ที่มีขนาดกะทัดรัดมากขึ้น การขัดด้านหลังยังมีจุดประสงค์ในการขจัดวัสดุแปลกปลอมด้วยการก่อตัวของหน้าสัมผัสทางไฟฟ้าและกาวในภายหลัง วัสดุพิมพ์ระหว่างการบรรจุ) ถัดไป ชิปแต่ละตัวจะบรรจุอยู่ในตัวเครื่องของตัวเอง ซึ่งช่วยให้สามารถเชื่อมต่อกับอุปกรณ์อื่นๆ ได้ ประเภทของบรรจุภัณฑ์ขึ้นอยู่กับประเภทของชิปและวิธีการใช้งาน ในที่สุด ชิปที่บรรจุหีบห่อทั้งหมดจะได้รับการทดสอบอีกครั้ง (ชิปที่ไม่เหมาะสมจะถูกปฏิเสธ ชิปที่เหมาะสมจะผ่านการทดสอบความเครียดพิเศษที่อุณหภูมิและความชื้นต่างๆ รวมถึงการทดสอบการปล่อยประจุไฟฟ้าสถิต) จัดเรียงตามคุณลักษณะและความสอดคล้องกับข้อกำหนดเฉพาะบางประการ และจัดส่งให้กับลูกค้า

เทคโนโลยี Intel Copy Exactly

ยู สำหรับผู้ผลิตชิปส่วนใหญ่ อุปกรณ์และกระบวนการที่ใช้ในห้องปฏิบัติการ R&D จะแตกต่างจากที่ใช้ในโรงงานผลิตภัณฑ์สำเร็จรูปของตน และเมื่อถ่ายโอนการผลิตจากการนำร่องไปสู่การผลิตแบบอนุกรม ความล่าช้าร้ายแรงมักเกิดขึ้นเนื่องจากอุปกรณ์ใหม่ต้องมีการดัดแปลงและปรับใช้กระบวนการทางเทคโนโลยีอย่างมีนัยสำคัญ เพื่อให้ได้เปอร์เซ็นต์ผลผลิตผลิตภัณฑ์ที่เหมาะสมในระดับสูงที่ได้รับก่อนหน้านี้ในห้องปฏิบัติการ สิ่งนี้ไม่เพียงแต่ทำให้การผลิตจำนวนมากล่าช้าเท่านั้น แต่ยังนำไปสู่การเปลี่ยนแปลงพารามิเตอร์กระบวนการหลายร้อยรายการและแม้กระทั่งผลิตภัณฑ์ขั้นสุดท้ายอีกด้วย กรณีเดียวกันนี้จะเกิดขึ้นหากกระบวนการที่จัดตั้งขึ้นในโรงงานแห่งหนึ่งถูกถ่ายโอนไปยังอีกโรงงานหนึ่งด้วยอุปกรณ์ใหม่

เพื่อป้องกันต้นทุนที่อาจเกิดขึ้น Intel Corporation ซึ่งมีโรงงานเซมิคอนดักเตอร์มากกว่าหนึ่งโหลอยู่แล้ว เมื่อหลายปีก่อนได้แนะนำเทคโนโลยี Copy Exactly สาระสำคัญก็คือเมื่อเทคโนโลยีการผลิตของผลิตภัณฑ์เฉพาะถูกถ่ายโอนจากห้องปฏิบัติการไปยังโรงงานหรือระหว่าง โรงงานต่างๆ การทำซ้ำทั้งหมด (ซ้ำซ้อน) ของทุกสิ่งที่เกี่ยวข้องกับกระบวนการทางเทคนิคนี้จนถึงรายละเอียดที่เล็กที่สุด เพื่อจุดประสงค์นี้ ผู้จัดการโรงงานมีส่วนร่วมในการพัฒนาผลิตภัณฑ์โดยเฉพาะ และเมื่อถ่ายโอนเทคโนโลยี ทุกอย่างจะถูกคัดลอกอย่างแท้จริง ไม่เพียงแต่พารามิเตอร์อินพุตและเอาต์พุตของกระบวนการ (มากกว่า 500 รายการ!) แต่ยังรวมถึงการไหล อุปกรณ์ และการตั้งค่า ซัพพลายเออร์ของวัตถุดิบสำหรับกระบวนการทางเทคนิค ระบบท่อ ห้องสะอาด และแม้กระทั่งวิธีการฝึกอบรมบุคลากร

เทคนิคการถ่ายทอดเทคโนโลยีที่เป็นนวัตกรรมนี้ได้รับการพิสูจน์แล้วว่าประสบความสำเร็จอย่างมาก ปัจจุบัน ช่วยให้โรงงานต่างๆ สามารถเต็มกำลังการผลิตได้แทบจะในทันทีหลังจากเริ่มต้นธุรกิจ - ภายในไม่กี่สัปดาห์ นอกจากนี้ เทคโนโลยี Copy Exactly ยังช่วยให้โรงงานของบริษัทหนึ่งมีความยืดหยุ่นมากขึ้น: เวเฟอร์ที่เริ่มต้นในโรงงานแห่งหนึ่งสามารถดำเนินการให้เสร็จสิ้นในอีกโรงงานหนึ่งได้โดยไม่กระทบต่อคุณภาพและผลผลิต และในกรณีที่เกิดอุบัติเหตุหรือการปรับโครงสร้างโรงงานแห่งหนึ่ง โรงงานอื่น ๆ จะ "รับหน้าที่" งานของตนและธุรกิจจะไม่ได้รับผลกระทบในทางปฏิบัติ เทคโนโลยีนี้ยังได้รับความชื่นชมจากคู่แข่ง เช่น AMD และ IBM แม้ว่าปัจจุบันจะยังไม่สามารถใช้ได้ระหว่างกันก็ตาม เนื่องจากเส้นทางเทคโนโลยีของพวกเขาค่อนข้างแตกต่างกัน

โรงงานเซมิคอนดักเตอร์

กับ ขณะนี้อุตสาหกรรมชิปใกล้จะถึงจุดสิ้นสุดของการปฏิวัติครั้งหนึ่งในรอบทศวรรษที่เปลี่ยนโฉมหน้าของอุตสาหกรรม ผู้ผลิตกำลังเปลี่ยนจากเวเฟอร์ที่มีเส้นผ่านศูนย์กลาง 200 มม. ไปเป็นเวเฟอร์ที่มีเส้นผ่านศูนย์กลาง 300 มม. (ดูรูปด้านขวา) ซึ่งส่งผลให้สามารถลดต้นทุนในการผลิตวงจรไมโครได้อย่างมากและด้วยเซมิคอนดักเตอร์อิเล็กทรอนิกส์ทั้งหมด สินค้า. ความจริงก็คือวัสดุพิมพ์ที่มีเส้นผ่านศูนย์กลาง 300 มม. ให้พื้นที่ของเวเฟอร์ซิลิคอนเพิ่มขึ้น 225% และเพิ่มผลผลิตที่มีประโยชน์ของชิปจากแต่ละวัสดุพิมพ์ 240% นอกจากนี้ คุณลักษณะด้านสิ่งแวดล้อมของการผลิตได้รับการปรับปรุงอย่างมีนัยสำคัญ ซึ่งต้องใช้สารเคมีและพลังงานต่อโปรเซสเซอร์น้อยลง และสร้างของเสียน้อยลง เมื่อเปรียบเทียบกับ fab ที่ทำงานบนเวเฟอร์ขนาด 200 มม. โรงงานใหม่ปล่อยสารประกอบอินทรีย์ระเหยง่ายน้อยลง 48% ใช้น้ำบริสุทธิ์พิเศษน้อยลง 42% และใช้พลังงานน้อยลงประมาณ 40% ตามข้อมูลของ Intel ต้นทุนแรงงานลดลง 50%

โรงงานสมัยใหม่ขนาด 300 มม. เป็นโรงงานอุตสาหกรรมขนาดยักษ์ที่มีมูลค่าประมาณ 2 พันล้านดอลลาร์และมีพื้นที่มากกว่าแสนตารางเมตร มีบริษัทชิปเพียงไม่กี่แห่งในปัจจุบัน (ดูแถบด้านข้างในหน้า 34 สำหรับบริษัท 20 อันดับแรก) ที่สามารถลงทุนในโรงงานราคาแพงเช่นนี้ได้ ท้ายที่สุดแล้ว ในการสร้างและดำเนินกิจการดังกล่าวต่อไป จำเป็นต้องมียอดขายต่อปีอย่างน้อย 6 พันล้านดอลลาร์ต่อโรงงาน โรงงานดังกล่าวมักเรียกว่า "โรงหล่อ" - หนึ่งในคำแปลของคำนี้เป็นภาษารัสเซียแปลว่า "โรงหล่อ" ชื่อนี้บ่งบอกถึงระดับอุตสาหกรรมขนาดมหึมา: กระบวนการทำเครื่องประดับในการผลิตองค์ประกอบไมโครโปรเซสเซอร์ที่มีเทคโนโลยีสูงกลายเป็นกระแสอุตสาหกรรมซึ่งมีขนาดที่เทียบเคียงได้กับขนาดของการผลิตของการประชุมเชิงปฏิบัติการด้านโลหะวิทยาขนาดใหญ่เท่านั้น ในปี 2000 เมื่อยอดขายชิปเฟื่องฟู มีเพียง 10 บริษัทในโลกที่มียอดขายมากกว่า 6 พันล้านดอลลาร์ ในบรรดา "ผู้พิทักษ์เก่า" ในปัจจุบันมีเพียง Intel, IBM, Infineon, AMD, Texas Instruments และ Samsung เท่านั้นที่เป็นเจ้าของโรงงานของตนเองสำหรับการผลิตชิปบนพื้นผิวขนาด 300 มม. ส่วนอื่นๆ ได้รับการสร้างขึ้นและจัดการร่วมกันโดยการรวมตัวกันของบริษัทต่างๆ เช่น Motorola - Philips - STMicroelectronics - Taiwan Semiconductor ผู้นำที่ไม่ต้องสงสัยในแผนการสร้างโรงงานใหม่คือไต้หวัน ในปี 2544 เกาะแห่งนี้ผลิตหนึ่งในห้าของการผลิตสารตั้งต้นทั้งหมดของโลก และภายในปี 2553 ส่วนแบ่งนี้อาจสูงถึง 40% จีน มาเลเซีย และสิงคโปร์ ตามมาติดๆ ไต้หวัน โดยมีแผนจะสร้างโรงงาน 15 แห่ง โดย 5 แห่งจะผลิตแผ่นเวเฟอร์ขนาด 300 มม.

ยู Intel Corporation มีโรงงานระดับอุตสาหกรรมสี่แห่งแล้ว: F11X ใน Rio Rancho (นิวเม็กซิโก), สองแห่ง - D1C และ D1D - ในฮิลส์โบโร (ออริกอน) และโรงงาน Fab 24 ที่เพิ่งเริ่มดำเนินการเมื่อเร็ว ๆ นี้ในเมือง Leixlip ของไอร์แลนด์ ทั้งหมดสามารถผลิตโปรเซสเซอร์โดยใช้เทคโนโลยี 90 นาโนเมตร โรงงานแห่งที่ห้าคือ Fab 12 ในเมืองแชนด์เลอร์ (แอริโซนา) สำหรับเทคโนโลยีการผลิต 65 นาโนเมตร จะถูกถ่ายโอนไปยังเวเฟอร์ขนาด 300 มม. ภายในปี 2548 ตัวอย่างเช่น AMD วางแผนที่จะเริ่มดำเนินการโรงงาน Fab 36 ขนาด 300 มม. แห่งแรกในปีหน้าเท่านั้น ดูรีวิวได้ที่ www.terralab.ru/system/33692 ผู้เชี่ยวชาญเชื่อว่าโรงงานที่มีอยู่ซึ่งมีพื้นผิวขนาด 200 มม. จะสามารถลอยอยู่ในน้ำได้จนถึงปี 2548 หลังจากนั้นโรงงานจะไม่สามารถทนต่อการแข่งขันด้านราคาด้วยกระบวนการ 300 มม. อีกต่อไป ภายในปี 2548 ชิปจะถูกสร้างขึ้นโดยใช้เทคโนโลยี 65 นาโนเมตร และทรานซิสเตอร์หนึ่งพันล้านตัวจะถูกรวมเข้ากับไมโครโปรเซสเซอร์! ชิปจะมีขนาดเล็กมากจนสามารถรวมโทรศัพท์มือถือที่มีการโทรออกด้วยเสียงไว้ในปากกาได้

เหตุใดโรงงานชิปจึงมีราคาแพงมาก (สูงถึง 5 พันล้านดอลลาร์) โรงงานเซมิคอนดักเตอร์ทำงานที่ซับซ้อนที่สุดในบรรดาโรงงานใดๆ ในโลก พวกเขาใช้เฉพาะวัสดุพิเศษ สลักเกลียว องค์ประกอบโครงสร้าง อุปกรณ์ ฯลฯ นอกจากนี้ ตัวอย่างเช่น โรงงานของ Intel มีขนาดใหญ่กว่าขนาดเฉลี่ยของโรงงานที่คล้ายคลึงกันในโลกเกือบสองเท่า ตัวอาคารมีราคาประมาณ 25% ของต้นทุนรวมของโรงงานและอีกสิบปีหลังการก่อสร้างยังคงโครงสร้างที่เหมาะสมสำหรับการแก้ปัญหาที่ทันสมัยที่สุด อุปกรณ์ (การติดตั้งสำหรับการพิมพ์หินด้วยแสง การสะสมของเฟสก๊าซ การฝังไอออน) และเครื่องจักรบนพื้นจะมีค่าใช้จ่ายส่วนที่เหลืออีก 75%

มีการวัดเพิ่มเติมเพื่อให้แน่ใจว่ามีความต้านทานการสั่นสะเทือนของฐานรากและการติดตั้ง แม้ว่าโรงงานจะเป็นอาคารภายนอกอาคารเดียว แต่จริงๆ แล้วเป็นอาคารหลายหลังที่แยกออกจากกันด้วยระยะห่างขนาดใหญ่ (สูงถึง 10 ซม.) และแต่ละอาคารก็มีรากฐานเป็นของตัวเอง ซึ่งช่วยลดการสั่นสะเทือนต่างๆ - ทั้งจากแหล่งภายนอก (ยานพาหนะ รถไฟ) และการสั่นสะเทือนของอุปกรณ์เอง